Browse "School of Electrical Engineering(전기및전자공학부)" by Author 김상민

Showing results 1 to 10 of 10

1
Minimizing wakeup latency under rush-current constraint in power-gated circuits = 파워 게이팅이 적용된 회로에서 제한된 돌입 전류를 사용한 활성화 시간 최적화 기법link

Kim, Sang-Min; 김상민; et al, 한국과학기술원, 2010

2
Module regrouping for minimizing wrapper cells in SoC testing

김상민; 홍정민; 배상민; 신영수, 한국반도체학술대회, 대한전자공학회, 2014-02-25

3
Pulsed-Vdd의 실제 하드웨어 구현

김상민; 백돈규; 안용수; 이동수; 신영수, 한국반도체학술대회, 대한전자공학회, 2015-02-10

4
Synthesis and optimization of dual operational-mode circuits = 듀얼 동작 모드 회로의 합성과 최적화link

Kim, Sangmin; 김상민; et al, 한국과학기술원, 2016

5
Thermal issues in 3D IC

신인섭; 김상민; 백승훈; 서문준; 유리은; 신영수, 전자공학회지, v.36, no.9, pp.980 - 990, 2009-09

6
Thermal issues in 3D IC

신인섭; 김상민; 백승훈; 서문준; 유리은; 신영수, 3차원반도체집적기술 특집, 전자공학회지, 2009-09

7
Timing analysis algorithm for clock gated DETFF based circuits

모민영; 김상민; 신영수, 한국반도체학술대회, 한국반도체학회, 2011-02

8
동작모드 파워 게이팅 회로를 위한 클락 게이팅 합성 기법

한인학; 김상민; 신영수, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2011-06

9
라이브러리 설계와 게이트 사이징을 이용한 이중 모드 회로의 타이밍 최적화

김상민; 신영수, 대한전자공학회 추계학술대회, 대한전자공학회, 2015-11-27

10
지능형 전장인식을 위한 엣지 컴퓨팅 기반 인공지능 가속기 성능 분석

손기영; 김성국; 윤지원; 진소연; 황재민; 김상민; 이우신; et al, 전자공학회논문지, v.59, no.7, pp.41 - 49, 2022-07

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0