Browse "School of Electrical Engineering(전기및전자공학부)" by Type Article

Showing results 7081 to 7140 of 14243

7081
Improvement of Charge Retention in Flash Memory Devices by Very Light Doping of Lanthanum into an Aluminum-Oxide Blocking Layer

Park, Jong Kyung; Lee, Seok-Hee; Oh, Jae Sub; Lee, Ki-Hong; Pyi, Seung Ho; Cho, Byung Jin, APPLIED PHYSICS EXPRESS, v.5, no.8, 2012-08

7082
Improvement of dielectric properties by inserting oxygen-rich initial layer in Pt/(Ba,Sr)TiO3/Pt structure

Kwak, DH; Lee, SH; Jang, BT; Cha, SY; Lee, Hee Chul, INTEGRATED FERROELECTRICS, v.20, no.1-4, pp.205 - 214, 1998

7083
Improvement of diffusion weighted images with reduced-view radial acquisition

Han, Y.J.; Hwang, J.Y.; Park, H.W., Intl. Soc. Mag. Reson. Med, Vol.16, pp.1801, 2008-05-03

7084
Improvement of diffusion weighted images with reduced-view radial acquisition

Han, Y.J.; Hwang, J.Y.; Park, H.W., Intl. Soc. Mag. Reson. Med, Vol.16, pp.1801, 2008-05-03

7085
Improvement of dispersion tolerance for electrical-binary-signal-based duobinary transmitters

Kim, B; Jeong, J; Lee, J; Lee, H; Kim, Hoon; Kim, SK; Kim, Y; et al, OPTICS EXPRESS, v.13, no.13, pp.5100 - 5105, 2005-06

7086
Improvement of electrical and optical properties of ZnO thin films prepared by MOCVD using UV light irradiation and in situ H-2 post-treatment

Myong, SY; Lim, Koeng Su, SOLAR ENERGY MATERIALS AND SOLAR CELLS, v.86, pp.105 - 112, 2005-02

7087
Improvement of electrical properties of MOCVD HfO2 by multistep deposition

Yeo, CC; Cho, Byung Jin; Joo, MS; Whoang, SJ; Kwong, DL; Bera, LK; Mathew, S; et al, ELECTROCHEMICAL AND SOLID STATE LETTERS, v.6, no.11, pp.F42 - F44, 2003-11

7088
Improvement of electrical stability of polycrystalline ZnO thin films via intentional post-deposition hydrogen doping

Myong, Seung-Yeop; Park, Sang-Il; Lim, Koeng-Su, THIN SOLID FILMS, v.513, pp.148 - 151, 2006-08

7089
Improvement of FDTD method regarding cloaking metamaterials by interpolation

Bae, Kee Ung; Kim, Hyungju; Kim, Young Dam; Yang, Woo Yong; Myung, Noh-Hoon, JOURNAL OF ELECTROMAGNETIC WAVES AND APPLICATIONS, v.30, no.10, pp.1366 - 1379, 2016

7090
Improvement of Gate Dielectric Integrity Using O-2 Plasma Treatment Prior to Atomic Layer Deposition on Chemical Vapor Deposition Grown Graphene

Sul, Onejae; Bong, Jaehoon; Yoon, Alex; Cho, Byung-Jin, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, v.15, no.1, pp.220 - 223, 2015-01

7091
Improvement of graphene-metal contact resistance by introducing edge contacts at graphene under metal

Song, Seung Min; Kim, Taek Yong; Sul, One Jae; Shin, Woo Cheol; Cho, Byung Jin, APPLIED PHYSICS LETTERS, v.104, no.18, 2014-05

7092
Improvement of inter-layer motion prediction in scalable video coding

Bae, TM; Thang, TC; Ro, YongMan, IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS, v.E90D, pp.1712 - 1715, 2007-10

7093
IMPROVEMENT OF LIGHT COUPLING IN BGO DETECTOR MODULE BY OPTIMIZATION OF THE CRYSTAL SHAPE

HILAL, SK; Ro, YongMan; MUN, CW; KIM, YS; CHO, ZH, IEEE TRANSACTIONS ON NUCLEAR SCIENCE, v.36, no.1, pp.1043 - 1046, 1989-02

7094
Improvement of Light Trapping in a-Si:H-Based Solar Cells by Inserting a ZnO/LiF Double Interlayer

Yang, Jihwan; Myong, Seung Yeop; Lim, Koeng Su, IEEE ELECTRON DEVICE LETTERS, v.35, no.1, pp.102 - 104, 2014-01

7095
Improvement of luminance and luminous efficiency using address voltage pulse during sustain-period of AC-PDP

Jang, SH; Cho, KD; Tae, HS; Choi, Kyung Cheol; Lee, SH, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.48, no.9, pp.1903 - 1910, 2001-09

7096
Improvement of memory performance by high temperature annealing of the Al2O3 blocking layer in a charge-trap type flash memory device

Park, Jong-Kyung; Park, Young-Min; Lim, Sung-Kyu; Oh, Jae-Sub; Joo, Moon-Sig; Hong, Kwon; Cho, Byung-Jin, APPLIED PHYSICS LETTERS, v.96, no.22, 2010-05

7097
Improvement of modulation bandwidth in electroabsorption-modulated laser by utilizing the resonance property in bonding wire

Kwon, Oh-Kee; Han, Young-Tak; Baek, Yong-Soon; Chung, Yun-Chur, OPTICS EXPRESS, v.20, no.11, pp.11806 - 11812, 2012-05

7098
Improvement of On-Off-Current Ratio in TiOx Active-Channel TFTs Using N2O Plasma Treatment

Park, JW; Lee, DY; Kwon, H; Yoo, Seunghyup, IEEE ELECTRON DEVICE LETTERS, v.30, pp.362 - 364, 2009-04

7099
Improvement of Open-Circuit Voltage Deficit via Pre-Treated NH4+ Ion Modification of Interface between SnO2 and Perovskite Solar Cells

Kim, Jihyun; Park, Joonho; Kim, Yong-Hoon; Jo, William, SMALL, v.18, no.44, 2022-11

7100
Improvement of pin-type amorphous silicon solar cell performance by employing double silicon-carbide p-layer structure

Myong, SY; Kim, SS; Lim, Koeng Su, JOURNAL OF APPLIED PHYSICS, v.95, pp.1525 - 1530, 2004-02

7101
Improvement of range precision in laser detection and ranging system by using two Geiger mode avalanche photodiodes

Kim, Tae Hoon; Kong, Hong-Jin; Jo, Sungeun; Jeon, Byoung-Goo; Oh, Min-Seok; Heo, Ayoung; Park, Dong-Jo, REVIEW OF SCIENTIFIC INSTRUMENTS, v.84, no.6, 2013-06

7102
Improvement of Reliability of a Flexible Photoluminescent Display Using Organic-Based Materials

Kim, Seung-Hun; Jang, Cheol; Kim, Kuk-Joo; Ahn, Sung-Il; Choi, Kyung-Cheol, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.57, pp.3370 - 3376, 2010-12

7103
Improvement of RRAM device performance through on-chip resistors

Gaba, S; Choi, Shinhyun; Sheridan, P; Chang, T; Yang, Y; Lu, W, Materials Research Society Symposium Proceedings, v.1430, pp.177 - 182, 2012

7104
Improvement of Sensitivity and Limit of Detection in a Nanogap Biosensor by Controlling Surface Wettability

Kim, Chang-Hoon; Ahn, Jaehyuk; Kim, Jee Yeon; Choi, Ji Min; Park, Taejung; Choi, Yang-Kyu, JOURNAL OF BIONANOSCIENCE, v.3, no.2, pp.192 - 197, 2013-06

7105
Improvement of short-circuit current of InP/InGaAsP/InP double heterojunction solar cells

Kim, CY; Cha, JH; Kim, J; Kwon, Young Se, ELECTRONICS LETTERS, v.41, no.9, pp.557 - 559, 2005-04

7106
Improvement of the chromatic dispersion tolerance in coherent optical OFDM systems using shifted DFT windows for ultra-long-haul optical transmission systems

Sung, Minkyu; Kim, Hoon; Lee, Jaehoon; Jeong, Jichai, OPTICS EXPRESS, v.22, no.19, pp.23539 - 23551, 2014-09

7107
Improvement of the diffusion-weighted images acquired with radial trajectories using projection data regeneration

Han, Ye-Ji; Hwang, Jin-Young; Chung, Jun-Young; Yun, Sung-Dae; Park, Hyun-Wook, JOURNAL OF MAGNETIC RESONANCE IMAGING, v.26, no.3, pp.799 - 804, 2007-09

7108
Improvement of the multi-level cell performance by a soft program method in flash memory devices

Park, Jong Kyung; Lee, Ki-Hong; Pyi, Seung Ho; Lee, Seok-Hee; Cho, Byung Jin, SOLID-STATE ELECTRONICS, v.94, pp.86 - 90, 2014-04

7109
Improvement of the performance of inverted polymer solar cells with a fluorine-doped tin oxide electrode

Kim, Jae-Ryoung; Cho, Jung Min; Lee, A-Rum; Chae, Eun Ah; Park, Jin-Uk; Byun, Won-Bae; Lee, Sang Kyu; et al, CURRENT APPLIED PHYSICS, v.11, no.1, pp.175 - 178, 2011-01

7110
Improvement of the Sensing Window on a Capacitorless 1T-DRAM of a FinFET-Based Unified RAM

Choi, Sung-Jin; Han, Jin-Woo; Kim, Chung-Jin; Kim, Sung-Ho; Choi, Yang-Kyu, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.56, no.12, pp.3228 - 3231, 2009-12

7111
Improvement of the thermal stability of Nb:TiO2-x samples for uncooled infrared detectors

Reddy, Y. Ashok Kumar; Kang, In-Ku; Shin, Young Bong; Lee, Hee Chul, JOURNAL OF PHYSICS D-APPLIED PHYSICS, v.51, no.2, 2018-01

7112
Improvement of thermoelectric properties of screen-printed Bi2Te3 thick film by optimization of the annealing process

We, Ju Hyung; Kim, Sun Jin; Kim, Gyung Soo; Cho, Byung Jin, JOURNAL OF ALLOYS AND COMPOUNDS, v.552, pp.107 - 110, 2013-03

7113
Improvement of track-to-track fusion for dual-mode homing guidance with target-orientation measurements

Cho, Hoonkyung; Chun, Joohwan; Song, Sungchan; Jung, Sangwon, OPTICAL ENGINEERING, v.54, no.10, pp.1031101 - 1031108, 2015-10

7114
Improvement of voltage linearity in high-kappa MIM capacitors using HfO2-SiO2 stacked dielectric

Kim, SJ; Cho, Byung Jin; Li, MF; Ding, SJ; Zhu, CX; Yu, MB; Chin, A; et al, IEEE ELECTRON DEVICE LETTERS, v.25, no.8, pp.538 - 540, 2004-08

7115
IMPROVEMENT ON IMAGE TRANSFORM CODING BY REDUCING INTERBLOCK CORRELATION

LIM, KW; CHUN, KW; Ra, Jong Beom, IEEE TRANSACTIONS ON IMAGE PROCESSING, v.4, no.8, pp.1146 - 1150, 1995-08

7116
Improvement on Nonquadratic Stabilization of Discrete-Time Takagi-Sugeno Fuzzy Systems: Multiple-Parameterization Approach

Lee, Dong Hwan; Park, Jin Bae; Joo, Young Hoon, IEEE TRANSACTIONS ON FUZZY SYSTEMS, v.18, no.2, pp.425 - 429, 2010-04

7117
Improvements in Small-scale Helicopter Rotor Modeling for the Real-time Simulation of Hovering Flight

Choi, Hyoung-Sik; Kim, Eung-Tai; You, Dong-Il; Shim, David Hyunchul, TRANSACTIONS OF THE JAPAN SOCIETY FOR AERONAUTICAL AND SPACE SCIENCES, v.54, no.185-86, pp.229 - 237, 2011-11

7118
Improvements of resonance characteristics due to thermal annealing of Bragg reflectors in ZnO-based FBAR devices

Kim, DH; Yim, M; Chai, D; Yoon, Giwan, ELECTRONICS LETTERS, v.39, pp.962 - 964, 2003-06

7119
Improvements of spreading codes utilisation efficiency for integrated multimedia services in CDMA network

Kyung Su Park; Cho, Dong-Ho, ELECTRONICS LETTERS, v.34, no.11, pp.1065 - 1067, 1998-05

7120
Improving 60-GHz band radio-frequency with radio-over-fiber link characteristics of optical transmitter system-on-packaging

Choi, KS; Chung, YD; Sim, JS; Moon, JT; Yu, HK; Park, HyoHoon; Kim, J, OPTICAL ENGINEERING, v.47, no.2, 2008-02

7121
Improving dictionary-based code compression in VLIW architectures

Nam, SJ; Park, In-Cheol; Kyung, Chong-Min, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E82A, no.11, pp.2318 - 2324, 1999-11

7122
Improving Energy Saving in Time-Division Multiplexing Passive Optical Networks

Newaz, S.H.Shah; Cuevas, Angel; Lee, Gyu-Myoung; Crespi, Noel; Choi, Jun-Kyun, IEEE INTERNET COMPUTING, v.17, no.1, pp.23 - 31, 2013-01

7123
Improving holographic data storage by use of an optimized phase mask

Park, Cheol Hoon, APPLIED OPTICS, v.38, no.26, pp.5641 - 5645, 1999-09

7124
Improving light extraction of flexible OLEDs using a mechanically robust Ag mesh/ITO composite electrode and microlens array

Shin, So-Ra; Lee, Hock Beng; Jin, Won-Yong; Ko, Keum-Jin; Park, Sunghee; Yoo, Seunghyup; Kang, Jae-Wook, JOURNAL OF MATERIALS CHEMISTRY C, v.6, no.20, pp.5444 - 5452, 2018-05

7125
Improving lookup table control of a hot coil strip process with online retrainable RBF network

Jeong, SY; Lee, M; Lee, Soo-Young; Cho, JM; Park, Cheol Hoon, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, v.47, no.3, pp.679 - 686, 2000-06

7126
Improving mass detection using combined feature representations from projection views and reconstructed volume of DBT and boosting based classification with feature selection

Kim, Dae Hoe; Kim, Seong Tae; Ro, Yong Man, PHYSICS IN MEDICINE AND BIOLOGY, v.60, no.22, pp.8809 - 8832, 2015-11

7127
Improving Non-Orthogonal Multiple Access by Forming Relaying Broadcast Channels

So, Jungho; Sung, Young-Chul, IEEE COMMUNICATIONS LETTERS, v.20, no.9, pp.1816 - 1819, 2016-09

7128
Improving performance of organic solar cells using amorphous tungsten oxides as an interfacial buffer layer on transparent anodes

Han, S; Shin, WS; Seo, M; Gupta, D; Moon, SJ; Yoo, Seunghyup; Shin, WS; et al, ORGANIC ELECTRONICS, v.10, pp.791 - 797, 2009-08

7129
Improving playout rate of streaming service with power efficiency over wireless multihop networks

Lee, H. -J.; Lim, Jong-Tae, IET COMMUNICATIONS, v.5, no.9, pp.1295 - 1302, 2011-06

7130
Improving SSD Read Latency via Coding

Park, Hyegyeong; Moon, Jaekyun, IEEE TRANSACTIONS ON COMPUTERS, v.69, no.12, pp.1809 - 1822, 2020-12

7131
Improving TCP Performance over Optimal CSMA in Wireless Multi-Hop Networks

Lee, Jin-Sung; Lee, Hyang-Won; Yi, Yung; Chong, Song, IEEE COMMUNICATIONS LETTERS, v.16, no.9, pp.1388 - 1391, 2012-09

7132
Improving the Abilities of K-12 Students in Grasping Timbre Through MAX/MSP Software

Kim, Changhyun; Oh, Sang-Hoon; Lee, Soo-Young, WFMT Music Therapy Today, v.9, no.1, pp.202 - 203, 2011-07

7133
Improving the adaptive source model for CELP coding with long analysis frame size

c. h. kwon; c. k. un, SPEECH COMMUNICATION, v.16, no.4, pp.423 - 433, 1995-06

7134
IMPROVING THE LABELING ACCURACY BY A NEW PROBABILISTIC RELAXATION LABELING

KOO, JY; Park, Kyu Ho; KIM, M, PATTERN RECOGNITION LETTERS, v.3, no.6, pp.399 - 402, 1985-12

7135
Improving the Light-Load Regulation Capability of LLC Series Resonant Converter Using Impedance Analysis

Yeon, Cheol O; Kim, Jong-Woo; Park, Moo-Hyun; Lee, Il-Oun; Moon, Gun-Woo, IEEE TRANSACTIONS ON POWER ELECTRONICS, v.32, no.9, pp.7056 - 7067, 2017-09

7136
Improving the performance of an electrowetting lenticular lens array by using a thin polycarbonate chamber

Lee, Junsik; Kim, Junoh; Kim, Cheol Joong; Shin, Doo-Seub; Koo, Gyo Hyun; Sim, Jee Hoon; Won, Yong Hyub, OPTICS EXPRESS, v.24, no.26, pp.29972 - 29983, 2016-12

7137
Improving the performance of photovoltaic cells based on nanocomposites with contorted polycyclic aromatic hydrocarbon additive in bulk heterojunction

Lee, Jaehyeon; Shim, Jaeho; Lee, Joo Song; Choi, Chel-Jong; Yim, Sang-Youp; Jin, Yeonghoon; Yu, Kyoungsik; et al, JOURNAL OF MATERIALS CHEMISTRY C, v.9, no.38, pp.13081 - 13089, 2021-10

7138
IMPROVING THE RECOGNITION PERFORMANCE BY USING A PARALLEL-BRANCH SUBUNIT MODEL-BASED ON MISRECOGNISED DATA

PARK, YK; Un, Chong-Kwan, ELECTRONICS LETTERS, v.30, no.17, pp.1380 - 1382, 1994-08

7139
Improving the Speech Quality of a CELP Coder

J.I.Lee; C.K.Un, ELECTRONICS LETTERS, v.25, no.19, pp.1275 - 1277, 1989-09

7140
Improving visual accessibility for color vision deficiency based on MPEG-21

Yang, S; Ro, YongMan; Nam, J; Hong, J; Choi, SY; Lee, JH, ETRI JOURNAL, v.26, pp.195 - 202, 2004-06

Discover

Type

. next

Open Access

Date issued

. next

Subject

rss_1.0 rss_2.0 atom_1.0