Browse "School of Electrical Engineering(전기및전자공학부)" by Author 한인학

Showing results 4 to 6 of 6

4
Simultaneous fixing hold violations of best and worst corners

한인학; 정진욱; 신영수, 한국반도체학술대회, 대한전자공학회, 2015-02-10

5
Synthesis of multi-stage gate-level clock gating

한인학; 신영수, 한국반도체학술대회, 대한전자공학회, 2014-02-25

6
동작모드 파워 게이팅 회로를 위한 클락 게이팅 합성 기법

한인학; 김상민; 신영수, 대한전자공학회 하계종합학술대회, 대한전자공학회, 2011-06

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0