Browse "School of Electrical Engineering(전기및전자공학부)" by Title 

Showing results 20421 to 20440 of 51065

20421
High-Performance Low-Area Video Up-Scaling Architecture for 4-K UHD Video

Lee, Jooseung; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.64, no.4, pp.437 - 441, 2017-04

20422
High-performance low-power small-area 3D graphics processor based on logarithmic arithmetic for handheld systems = 로그연산에 기반한 고성능 저전력 소면적의 휴대기기용 3차원 그래픽 프로세서link

Nam, Byeong-Gyu; 남병규; et al, 한국과학기술원, 2007

20423
High-performance MEMS relay using a stacked-electrode structure and a levering and torsional spring for power applications

Song, Yong-Ha; Han, Chang-Hoon; Kim, Min-Wu; Lee, Jeong Oen; Yoon Jun-Bo, 25h IEEE International Conference on Micro Electro Mechanical Systems, MEMS 2012, pp.84 - 87, IEEE, 2012-01-30

20424
High-performance MIM capacitor using ALD high-k HfO2-Al2O3 laminate dielectrics

Ding, SJ; Hu, H; Lim, HF; Kim, SJ; Yu, XF; Zhu, CX; Cho, Byung Jin; et al, IEEE ELECTRON DEVICE LETTERS, v.24, no.12, pp.730 - 732, 2003-12

20425
High-Performance MIM Capacitors Using HfLaO-Based Dielectrics

Zhang, Lu; He, Wei; Chan, Daniel S. H.; Cho, Byung Jin, IEEE ELECTRON DEVICE LETTERS, v.31, no.1, pp.17 - 19, 2010-01

20426
High-Performance Monolithic Photovoltaic-Thermoelectric Hybrid Power Generator Using an Exothermic Reactive Interlayer

Kim, Yongjun; Choi, Hyeongdo; Kim, Choong Sun; Lee, Gyusoup; Kim, Seongho; Park, Jiwon; Park, Seong Eun; et al, Acs Applied Energy Materials, v.2, no.4, pp.2381 - 2386, 2019-04

20427
High-performance MOS analog multiplier and temperature-stabilized SOI voatage reference = 고성능 MOS 아날로그 곱셈기 및 온도안정화된 SOI 기준전압회로link

Song, Ho-Jun; 송호준; et al, 한국과학기술원, 1992

20428
High-Performance MoS2 Thin-Film Transistors for Flexible OLED display

Hong, Woonggi; Woo, Youngjun; Yang, SangYoon; Kang, Taegyu; Choi, Sung-Yool, SID Display Week 2018, SID Display Week 2018, 2018-05-24

20429
High-performance nanopattern triboelectric generator by block copolymer lithography

Kim, Daewon; Jeon, Seung-Bae; Kim, Ju-Young; Seol, Myeong-Lok; Kim, Sang Ouk; Choi, Yang-Kyu, NANO ENERGY, v.12, pp.331 - 338, 2015-03

20430
High-Performance Nanowire Oxide Photo-Thin Film Transistors

Ahn, Seung-Eon; Jeon, Sanghun; Jeon, Youg Woo; Kim, Changjung; Lee, Myoung-Jae; Lee, Chang-Won; Park, Jongbong; et al, ADVANCED MATERIALS, v.25, no.39, pp.5549 - 5554, 2013-10

20431
High-Performance Near-Infrared Photodetectors Based on Surface-Doped InSe

Jang, Hanbyeol; Seok, Yongwook; Choi, YiTaek; Cho, Sang-Hoo; Watanabe, Kenji; Taniguchi, Takashi; Lee, Kayoung, ADVANCED FUNCTIONAL MATERIALS, v.31, no.3, pp.2006788, 2021-01

20432
High-performance networked storage application with cooperative smart NICs = 스마트 NIC을 활용한 고성능 스토리지 서버 디자인link

Kim, Dohun; Park, Kyoungsoo; et al, 한국과학기술원, 2019

20433
High-performance NIR photodetector based on AuCl3-doped InSe with vertical graphene contact

장한별; 석용욱; 최이택; 조상후; Watanabe, Kenji; Taniguchi, Takashi; 이가영, Nano Convergence Conference 2023, 나노기술연구협의회, 2023-01-26

20434
High-performance pentacene field-effect transistors using Al2O3 gate dielectrics prepared by atomic layer deposition (ALD)

Zhang, Xiao-Hong; Domercq, Benoit; Wang, Xudong; Yoo, Seunghyup; Kondo, Takeshi; Wang, Zhong Lin; Kippelen, Bernard, ORGANIC ELECTRONICS, v.8, no.6, pp.718 - 726, 2007-12

20435
High-Performance Pentacene Thin-Film Transistors Fabricated by Organic Vapor-Jet Printing

Yun, Chang-Hun; Moon, Han-Ul; Kang, Hyun-Wook; Kim, Min-Cheol; Sung, Hyung-Jin; Yoo, Seung-Hyup, IEEE ELECTRON DEVICE LETTERS, v.31, no.11, pp.1305 - 1307, 2010-11

20436
High-Performance Pentacene Thin-Film Transistors Fabricated by Printing Technology

Yun, Chang-Hun; Kim, Min-Seok; Lee, Seung-Won; Moon, Han-Ul; Park, Sun-Min; Koo, Jae-Bon; Kim, Jeong-Won; et al, IEEE ELECTRON DEVICE LETTERS, v.32, no.10, pp.1454 - 1456, 2011-10

20437
High-performance pixelwise readout integrated circuits for microbolometer

Hwang, C.H.; Lee, Y.S.; Lee, Hee Chul, ICECS 2006 - 13th IEEE International Conference on Electronics, Circuits and Systems, pp.1140 - 1143, 2006-12-10

20438
High-performance planar inductor on thick oxidized porous silicon (OPS) substrate

Nam, CM; Kwon, Young Se, IEEE MICROWAVE AND GUIDED WAVE LETTERS, v.7, no.8, pp.236 - 238, 1997-08

20439
High-Performance Polycrystalline Silicon TFT on the Structure of a Dopant-Segregated Schottky-Barrier Source/Drain

Choi, Sung-Jin; Han, Jin-Woo; Kim, Sung-Ho; Moon, Dong-Il; Jang, Moon-Gyu; Choi, Yang-Kyu, IEEE ELECTRON DEVICE LETTERS, v.31, no.3, pp.228 - 230, 2010-03

20440
High-Performance Q-Band MMIC Phase Shifters Using InGaAs PIN Diodes

김문호; 양정길; 양경훈, JOURNAL OF THE KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, v.9, no.3, pp.159 - 163, 2009-09

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0