Browse "School of Electrical Engineering(전기및전자공학부)" by Title 

Showing results 32181 to 32200 of 51289

32181
Reduced state space representation for reinforcement learning based wireless scheduling = 강화학습 기반 무선 스케줄링을 위한 축약된 네트워크 상태 표현link

Lee, Yongsik; Chong, Song; et al, 한국과학기술원, 2020

32182
Reduced symmetry and analogy to chirality in periodic dielectric media

Giden, I. H.; Turduev, M.; Kurt, Hamza, JOURNAL OF THE EUROPEAN OPTICAL SOCIETY-RAPID PUBLICATIONS, v.9, 2014

32183
Reduced-Complexity Near-ML Detector for a Coded DSTTD-OFDM System

Kim, Hyounkuk; Park, Hyuncheol, IEICE TRANSACTIONS ON COMMUNICATIONS, v.E91-B, no.11, pp.3749 - 3752, 2008-11

32184
Reduced-complexity soft MIMO detection based on causal and noncausal decision feedback

Li, Yong; Moon, Jaekyun, IEEE TRANSACTIONS ON SIGNAL PROCESSING, v.56, no.3, pp.1178 - 1187, 2008-03

32185
Reduced-complexity stack-based iterative detection for V-BLAST systems

Cha, Jong-Sub; Lee, Keon-Kook; Kang, Joon-Hyuk, IEICE TRANSACTIONS ON COMMUNICATIONS, v.E90B, no.10, pp.2897 - 2902, 2007-10

32186
Reduced-complexity vector channel estimation for systems with receive diversity

Kang, Joonhyuk; Vloeberghs, N, IEICE TRANSACTIONS ON COMMUNICATIONS, v.E87B, no.6, pp.1706 - 1709, 2004-06

32187
Reduced-Complexity Vector Channel Estimation for Systems with Receive Diversity

Kang, Joonhyuk; Vloeberghs, Niko, Ieice Transactions on Communications e Series B, Vol.E87-B, No.6, pp.1706-1709, 2004-06

32188
Reduced-Delay DCSK UWB 시스템의 성능 분석에 관한 연구

이권형; 손재승; 김재현; 김영환; 박현철, 제16회 통신정보합동학술대회, 한국통신학회, 대한전자공학회, 한국정보과학회, 한국정보보호학회, 한국정보처리학회, 2006-04-26

32189
Reduced-state MLSE for an IM/DD system using PAM modulation

Yu, Yukui; Che, Yi; Bo, Tianwai; Kim, Daeho; Kim, Hoon, OPTICS EXPRESS, v.28, no.26, 2020-12

32190
REDUCED-STATE SEQUENCE ESTIMATION OF OFDM SIGNAL IN FREQUENCY-SELECTIVE RAYLEIGH FADING CHANNELS

KIM, YH; AHN, J; Lee, Hwang Soo, ELECTRONICS LETTERS, v.31, no.21, pp.1802 - 1803, 1995-10

32191
REDUCING AMBIGUITY IN FEATURE POINT MATCHING BY PRESERVING LOCAL GEOMETRIC CONSISTENCY

Choi, Ouk; Kweon, In So, IEEE International Conference on Image Processing, 2008

32192
Reducing ambiguity in feature point matching by preserving local geometric consistency

Choi, O.; Kweon, In-So, 2008 IEEE International Conference on Image Processing, ICIP 2008, pp.293 - 296, 123, 2008-10-12

32193
Reducing cache latency by combining addition and decoding = 가산과 디코딩의 통합을 이용한 캐시 시간지연의 감소에 관한 연구link

Lee, Yung-Hei; 이융희; et al, 한국과학기술원, 1997

32194
Reducing Cross-Coupling among Interconnect Wires in Deep-Submicron Datapath Design

Kyung, Chong-Min; Yim, J.S., 36th Design Automation Conference(DAC), pp.485 - 490, 1999-06

32195
Reducing Effect of Outliers in Landmark-based Spatial Localization using MLESAC

Kim, Jong-Hwan; Choi, SL, World Congress The International Federation of Automatic Control, 2008

32196
Reducing human supervision in supervised learning = 약한 지도를 통한 물체 인식 학습link

Kim, Dahun; Kweon, In So; et al, 한국과학기술원, 2018

32197
Reducing Inter-Cell Handover based on Dynamic Hysteresis in Multi-hop Relay System

박지현; 조동호, 통신정보합동학술대회, pp.238 - 238, 한국통신학회, 2007-05

32198
Reducing inter-cell handover events based on Cell ID information in multi-hop relay systems

Ji Hyun Park; Ki-Young Han; Cho, Dong-Ho, 2007 IEEE 65th Vehicular Technology Conference - VTC2007-Spring, pp.743 - 747, IEEE, 2007-04-22

32199
Reducing intercell handoff based on cell information in multihop cellular systems = 멀티홉 셀룰러 시스템에서 셀 정보를 이용하여 셀 간 핸드오프 횟수를 줄이는 방안 연구link

Park, Ji- Hyun; 박지현; et al, 한국과학기술원, 2007

32200
Reducing Mistakes in Mathematics Problem Solving through Behavioral Training with a Tablet Computer

Kim, Youngjae; Lim, Cheolil; Choi, HaeWook; Hahn, Minsoo, IEEE TRANSACTIONS ON LEARNING TECHNOLOGIES, v.9, no.1, pp.81 - 93, 2016-01

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0