Browse "School of Electrical Engineering(전기및전자공학부)" by Type Article

Showing results 7861 to 7920 of 14243

7861
Low-crosstalk and high-efficiency optical interconnection using 45 degrees-ended connection rods

Rho, BS; Cho, MH; Cho, HS; Kang, S; Park, HyoHoon; Ha, SW; Rhee, BH, ELECTRONICS LETTERS, v.40, no.12, pp.730 - 732, 2004-06

7862
Low-density parity-check codes for volume holographic memory systems

Pishro-Nik, H; Rahnavard, N; Ha, Jeongseok; Fekri, F; Adibi, A, APPLIED OPTICS, v.42, no.5, pp.861 - 870, 2003-02

7863
Low-density parity-check codes over Gaussian channels with erasures

Ha, Jeongseok; McLaughlin, SW, IEEE TRANSACTIONS ON INFORMATION THEORY, v.49, no.7, pp.1801 - 1809, 2003-07

7864
Low-Density Parity-Check Codes with Rate-Compatibility

하정석, TELECOMMUNICATIONS REVIEW, v.16, no.5, pp.823 - 836, 2006-10

7865
Low-frequency noise characteristics in p-channel FinFETs

Lee, JS; Choi, Yang-Kyu; Ha, D; King, TJ; Bokor, J, IEEE ELECTRON DEVICE LETTERS, v.23, no.12, pp.722 - 724, 2002-12

7866
Low-Frequency Noise Characteristics in SONOS Flash Memory With Vertically Stacked Nanowire FETs

Bang, Te-Wook; Lee, Byung-Hyun; Kim, Choong-Ki; Ahn, Dae-Chul; Jeon, Seung-Bae; Kang, Min-Ho; Oh, Jae-Sub; et al, IEEE ELECTRON DEVICE LETTERS, v.38, no.1, pp.40 - 43, 2017-01

7867
Low-frequency noise characteristics of ultrathin body p-MOSFETs with molybdenum gate

Lee, JS; Ha, DW; Choi, Yang-Kyu; King, TJ; Bokor, J, IEEE ELECTRON DEVICE LETTERS, v.24, no.1, pp.31 - 33, 2003-01

7868
Low-Frequency Noise Characteristics Under the OFF-State Stress

Lee, Geon-Beom; Kim, Choong-Ki; Yoo, Min-Soo; Choi, Yang-Kyu, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.67, no.10, pp.4366 - 4371, 2020-10

7869
LOW-FREQUENCY NOISE DUE TO CARRIER RECOMBINATION IN A P-N-JUNCTION

Lee, Kwyro; AMBERIADIS, K, SOLID-STATE ELECTRONICS, v.25, no.10, pp.995 - 998, 1982

7870
Low-Frequency Noise Performance of a Bilayer InZnO-InGaZnO Thin-Film Transistor for Analog Device Applications

Jeon, Sanghun; Kim, Sun Il; Park, Sungho; Song, Ihun; Park, Jaechul; Kim, Sangwook; Kim, Changjung, IEEE ELECTRON DEVICE LETTERS, v.31, no.10, pp.1128 - 1130, 2010-10

7871
Low-jitter multi-phase digital DLL with closest edge selection scheme for DDR memory interface

Oh, K. -I.; Kim, Lee-Sup; Park, K. -I.; Jun, Y. -H.; Kim, K., ELECTRONICS LETTERS, v.44, no.19, pp.1121 - 1122, 2008-09

7872
Low-jitter multi-phase digital DLL with closest edge selection scheme for DDR memory interface

Oh, Kwang-Il; Kim, Lee-Sup; Park, Kwang-Il; Jun, Young-Hyun; Kim, Kinam, IEE Electronics Letters, Vol. 44, No. 19, 2008-09

7873
Low-jitter phase-locked loop based on pseudo-differential delay elements

Park, Sin Chong, IEE ELECTRONICS LETTERS, v.37, no.11, pp.669 - 670, 2001-05

7874
Low-Latency Low-Cost Architecture for Square and Cube Roots

Jo, Jihyuck; Park, In-Cheol, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E100.A, no.9, pp.1951 - 1955, 2017-09

7875
Low-Leakage Fiber-Based Field-Effect Transistors with an Al2O3-MgO Nanolaminate as Gate Insulator

Park, Jeong Woo; Kwon, Seonil; Kwon, Jeong Hyun; Kim, Chan Young; Choi, Kyung Cheol, ACS APPLIED ELECTRONIC MATERIALS, v.1, no.8, pp.1400 - 1407, 2019-08

7876
Low-Loss and High-Confinement Photonic Platform Based on Germanium-on-Insulator at Mid-Infrared Range for Optical Sensing

Lim, Jinha; Shim, Joonsup; Kim, Inki; Kim, Seong Kwang; Lim, Hyeongrak; Ahn, Seung-Yeop; Park, Juhyuk; et al, JOURNAL OF LIGHTWAVE TECHNOLOGY, v.41, no.9, pp.2824 - 2833, 2023-05

7877
Low-Loss and High-Frequency Interconnection Technology on Membrane Supported by Porous Silicon Post

ju-hyun ko; choong-mo nam; in-ho jeong; Kwon, Young Se, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1, v.42, no.4B, pp.2478 - 2482, 2003-04

7878
Low-Loss Anisotropic Image Polaritons in van der Waals Crystal alpha-MoO3

메나브데세르게이; Jahng, Junghoon; Boroviks, Sergejs; Ahn, Jongtae; Heiden, Jacob T.; Hwang, Do Kyung; Lee, Eun Sung; et al, ADVANCED OPTICAL MATERIALS, v.10, no.21, 2022-11

7879
Low-Loss Compact Millimeter-Wave Power Divider/Combiner for Phased Array Systems

Byeon, Chul Woo; Park, Chul Soon, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.29, no.5, pp.312 - 314, 2019-05

7880
Low-loss quasi-parallel resonant DC link inverter with advanced PWM capability

Jung, YC; Cho, Gyu-Hyeong, INTERNATIONAL JOURNAL OF ELECTRONICS, v.81, no.2, pp.219 - 234, 1996-08

7881
Low-noise AlGaAs/InGaAs/GaAs pseudomorphic high electron mobility transistor recessed by electron cyclotron resonance plasma etching

Lee, JH; Choi, HT; Lee, CW; Yoon, HS; Park, BS; Park, Chul Soon, JOURNAL OF THE KOREAN PHYSICAL SOCIETY, v.34, no.2, pp.150 - 153, 1999-02

7882
Low-Noise and Wide-Dynamic-Range ROIC With a Self-Selected Capacitor for SWIR Focal Plane Arrays

Kim, Yeong Seon; Woo, Doo Hyung; Jo, Young Min; Kang, Sang Gu; Lee, Hee Chul, IEEE SENSORS JOURNAL, v.17, no.1, pp.179 - 184, 2017-01

7883
Low-noise high-efficiency double-phase hologram by multiplying a weight factor

Kim, YooKwang; Lee, Jin Su; Won, Yong Hyub, OPTICS LETTERS, v.44, no.15, pp.3649 - 3652, 2019-08

7884
Low-noise Reset Technique of an Asynchronous Charge-pulse-detecting Pixel for Single-photon X-ray Imaging

Kim, Hyun-Sik; Han, Kwan-Young, JOURNAL OF THE KOREAN PHYSICAL SOCIETY, v.68, no.3, pp.456 - 461, 2016-02

7885
Low-Overhead Network-on-Chip Support for Location-Oblivious Task Placement

Kim, Gwangsun; Lee, Michael Mihn-Jong; Kim, John Dongjun; Lee, Jae W.; Abts, Dennis; Marty, Michael, IEEE TRANSACTIONS ON COMPUTERS, v.63, no.6, pp.1486 - 1499, 2014-06

7886
Low-Phase-Noise 20-GHz Phase-Locked Loop Using Harmonic-Tuned VCO Assisting With g(m) -Boosting Technique

Lee, Hee Sung; Jang, Tae Hwan; Kim, Joon Hyung; Park, Chul Soon, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.31, no.10, pp.1629 - 1633, 2023-10

7887
Low-power 3D graphics processors for mobile terminals

Sohn, JH; Park, YH; Yoon, CW; Woo, R; Park, SJ; Yoo, Hoi-Jun, IEEE COMMUNICATIONS MAGAZINE, v.43, pp.90 - 99, 2005-12

7888
Low-power 42 dB-linear single-stage digitally-controlled variable gain amplifier

Nguyen, H. -H.; Duong, Q. -H.; Le, H. -B.; Lee, J. -S.; Lee, Sang-Gug, ELECTRONICS LETTERS, v.44, no.13, pp.780 - 15, 2008-06

7889
Low-power and area-efficient FIR filter implementation suitable for multiple taps

Kim, KS; Lee, Kwyro, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.11, pp.150 - 153, 2003-02

7890
Low-Power and High-Accurate Synchronization for IEEE 802.16d Systems

Kim, Tae-Hwan; Park, In-Cheol, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.16, no.12, pp.1620 - 1630, 2008-12

7891
Low-power and high-performance equality comparator using pseudo-NMOS NAND gates

Kim, CY; Kim, Lee-Sup, ELECTRONICS LETTERS, v.40, pp.1100 - 1101, 2004-09

7892
Low-power and low NF V-band down-converter in 0.13 mu m CMOS

Jung, D. Y.; Lee, Jae-Jin; Park, Chul-Soon, ELECTRONICS LETTERS, v.45, no.10, pp.509 - 510, 2009-05

7893
Low-Power Bus Architecture Composition for AMBA AXI

Na, Sangkwon; Yang, Sung; Kyung, Chong-Min, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.9, pp.75 - 79, 2009-06

7894
Low-power charge-sharing ROM using dummy bit lines

Yang, BD; Kim, Lee-Sup, ELECTRONICS LETTERS, v.39, no.14, pp.1041 - 1042, 2003-07

7895
Low-power CMOS polar modulator for multiband and multimode RF transmitter

Paek, Ji-Seon; Lee, H. -Y.; Hong, Song-Cheol, ELECTRONICS LETTERS, v.46, no.14, pp.1032 - 1033, 2010-07

7896
Low-Power Convolutional Neural Network Processor for a Face-Recognition System

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Yoo, Hoi-Jun, IEEE MICRO, v.37, no.6, pp.30 - 38, 2017-11

7897
Low-Power Decibel-Linear Programmable-Gain Amplifier With Complementary Current-Switching Technique

Park, Geon-Ho; Kim, Joon Hyung; Park, Chul Soon, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.70, no.5, pp.1846 - 1855, 2023-05

7898
Low-power direct conversion transceiver for 915 MHz band IEEE 802.15.4b standard based on 0. 18 mu m CMOS technology

Nguyen, TK; Le, VH; Duong, QH; Han, SK; Lee, Sang-Gug; Seong, NS; Kim, NS; et al, ETRI JOURNAL, v.30, pp.33 - 46, 2008-02

7899
Low-power dynamic termination scheme using NMOS diode clamping

Shin, DH; Lee, YM; Kim, KH; Lee, Kwyro, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.34, no.8, pp.1171 - 1175, 1999-08

7900
LOW-POWER EXCITON-BASED HETEROJUNCTION BIPOLAR-TRANSISTORS FOR THRESHOLDING LOGIC APPLICATIONS

GOSWAMI, S; Hong, Songcheol; BISWAS, D; BHATTACHARYA, PK; SINGH, J; LI, WQ, IEEE JOURNAL OF QUANTUM ELECTRONICS, v.27, no.3, pp.760 - 768, 1991-03

7901
Low-power high-slew-rate CMOS buffer amplifier for flat panel display drivers

Kim, SK; Son, YS; Cho, Gyu-Hyeong, ELECTRONICS LETTERS, v.42, pp.214 - 216, 2006-02

7902
Low-power high-speed performance of current-mode logic D flip-flop topology using negative-differential-resistance devices

Kim, T.; Jeong, Yong-Sik; Yang, Kyoung-Hoon, IET CIRCUITS DEVICES & SYSTEMS, v.2, no.2, pp.281 - 287, 2008-04

7903
Low-power hybrid turbo decoding based on reverse calculation

Choi, HM; Kim, JH; Park, In-Cheol, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E89A, no.3, pp.782 - 789, 2006-03

7904
Low-Power LDPC-CC Decoding Architecture Based on the Integration of Memory Banks

Yoo, Injae; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.64, no.9, pp.1057 - 1061, 2017-09

7905
Low-power Log-MAP decoding based on reduced metric memory access

Lee, DS; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.53, no.6, pp.1244 - 1253, 2006-06

7906
Low-power network-on-chip for high-performance SoC design

Lee, Kang-Min; Lee, Se-Joong; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.14, pp.148 - 160, 2006-02

7907
Low-Power Nonvolatile Charge Storage Memory based on MoS2 and an Ultrathin Polymer Tunneling Dielectric

Woo, Myung Hoon; Jang, Byung Chul; Choi, Junhwan; Lee, Khang June; Shin, Gwang Hyuk; Seong, Hyejeong; Im, Sung Gap; et al, ADVANCED FUNCTIONAL MATERIALS, v.27, no.43, pp.1703545, 2017-11

7908
Low-Power Parallel Chien Search Architecture Using a Two-Step Approach

Yoo, Hoyoung; Lee, Youngjoo; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.63, no.3, pp.269 - 273, 2016-03

7909
Low-power PHEMT MMIC LNA for C-band applications

Yuk, JS; Choi, YG; Lee, YS; Park, Chul Soon; Kang, S, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS, v.48, pp.253 - 255, 2006-02

7910
Low-Power Scalable 3-D Face Frontalization Processor for CNN-Based Face Recognition in Mobile Devices

Kang, Sanghoon; Lee, Jinmook; Bong, Kyeongryeol; Changhyeon Kim; Kim, Youchang; Yoo, Hoi-Jun, IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, v.8, no.4, pp.873 - 883, 2018-12

7911
Low-power static frequency divider using an InP-based monolithic RTD/HBT technology

Kim, T; Jeong, Yong-Sik; Yang, Kyoung-Hoon, ELECTRONICS LETTERS, v.42, no.1, pp.27 - 29, 2006-01

7912
Low-Power True Random Number Generator Based on Randomly Distributed Carbon Nanotube Networks

Kim, Sungho; Kim, Moon-Seok; Lee, Yongwoo; Kim, Hee-Dong; Choi, Yang-Kyu; Choi, Sung-Jin, IEEE ACCESS, v.9, pp.91341 - 91346, 2021-06

7913
Low-Power Ultrawideband Wireless Telemetry Transceiver for Medical Sensor Applications

Gao, Yuan; Zheng, Yuanjin; Diao, Shengxi; Toh, Wei-Da; Ang, Chyuen-Wei; Je, Minkyu; Heng, Chun-Huat, IEEE Transactions on Biomedical Engineering, v.58, no.3, pp.768 - 772, 2011-03

7914
Low-power variable length decoder considering successive codewords

Lee, SW; Park, In-Cheol, ELECTRONICS LETTERS, v.36, no.5, pp.440 - 442, 2000-03

7915
Low-Power, Low-Phase-Noise G(m)-Boosted 10-GHz VCO With Center-Tap Transformer and Stacked Transistor

Lee, Hee Sung; Kang, Dong Min; Cho, Seong Jun; Byeon, Chul Woo; Park, Chul Soon, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.10, pp.1710 - 1714, 2020-10

7916
Low-power, low-phase-noise tuned-input tuned-output VCO with loop gain enhancement

Bui, Quang Diep; Choi, Hyun-Seok; Oh, Inn-Yeal; Shin, Min-Cheol; Park, Chul-Soon, ELECTRONICS LETTERS, v.48, no.19, pp.1192 - 1193, 2012-09

7917
LOW-POWER, REAL-TIME OBJECT-RECOGNITION PROCESSORS FOR MOBILE VISION SYSTEMS

Oh, Jin-Wook; Kim, Gyeong-Hoon; Hong, In-Joon; Park, Jun-Young; Lee, Seung-Jin; Kim, Joo-Young; Woo, Jeong-Ho; et al, IEEE MICRO, v.32, no.6, pp.38 - 50, 2012-11

7918
Low-profile full-metal-rimmed antenna with less nonground portion for smartphones

Aziz, Rao Shahid; Jeong, Myunghun; Park, Seong-Ook, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS, v.60, no.5, pp.1187 - 1193, 2018-05

7919
Low-Resistive High-Work-Function Gate Electrode for Transparent a-IGZO TFTs

Jang, Woo Jae; Lee, Myung Keun; Yoo, Jinhan; Kim, Eungtaek; Yang, Dae Young; Park, Junhong; Park, Jeong Woo; et al, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.64, no.1, pp.164 - 169, 2017-01

7920
Low-Temperature and Corrosion-Resistant Gas Diffusion Multibarrier with UV and Heat Rejection Capability-A Strategy to Ensure Reliability of Organic Electronics

Kwon, Jeong Hyun; Jeon, Yongmin; Kim, Do-Geun; Lee, Seunghun; Lee, Sangmin; Kim, Taek-Soo; Choi, Kyung Cheol, ACS APPLIED MATERIALS & INTERFACES, v.11, no.18, pp.16776 - 16784, 2019-05

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0