Clock mesh design for multi-level clock gating다계층 클락 게이팅이 적용된 회로를 위한 클락 메쉬의 설계

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 635
  • Download : 0
DC FieldValueLanguage
dc.contributor.advisorShin, Young-Soo-
dc.contributor.advisor신영수-
dc.contributor.authorLee, Dong-Soo-
dc.contributor.author이동수-
dc.date.accessioned2015-04-23T06:13:40Z-
dc.date.available2015-04-23T06:13:40Z-
dc.date.issued2014-
dc.identifier.urihttp://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=592393&flag=dissertation-
dc.identifier.urihttp://hdl.handle.net/10203/196658-
dc.description학위논문(석사) - 한국과학기술원 : 전기및전자공학과, 2014.8, [ iv, 43 p. ]-
dc.description.abstractPower and clock skew are most commonly mentioned challenges in VLSI design. Clock gating is one of the most popular technique for power reduction. Clock gating shuts down a clock network that drives sequential elements in unswitching state to reduce clock power consumption. Clock mesh is one of the clock distribution network utilizes mesh shaped wire grids for clock distribution. Clock signals arrive at mesh grid differently, but mesh grids averages these signals and therefore, resulting clock skew is quite smaller than normal clock tree. In this thesis, clock mesh is applied to hierarchically clock gated circuit. Three methods are proposed: single mesh, mulitple mesh (overlapped and non-overlapped.) The purpose of this thesis is suggest a guideline for designers who wants to implement a clock mesh to their design, which employs clock gating. Power consumption, clock skew, wirelengths of clock network, timing closure of these design methods will be discussed experimentally. Single consumes 16.2% more power than overlapped mesh because overlapped mesh has more gating chances to gate clock network including mesh grid and premesh tree. However, overlapped mesh’s clock wirelengths, clock skew, and, design time are larger than single mesh’s because of mulitple mesh implementation. In addition, floorplanning can be employed before placement, to get a non-overlapped mesh implementation. Floorplanning limits module’s area to assigned areas, therefore, meshes are not overlapped in non-overlapped mesh implementation. As a result, overlapped mesh consumes 4.7% more power than non-overlapped mesh method. However, designer must decide whether or not to use this method at early design stage because, critical path delay increases. Mesh power estimation method is also proposed: single and overlapeed mesh’s mesh grid switching capacitance are compared before actual mesh construction.eng
dc.languageeng-
dc.publisher한국과학기술원-
dc.subjectclock gating-
dc.subject설계 지침-
dc.subject플로어플래닝-
dc.subject클락 메쉬-
dc.subject게이팅 계층-
dc.subject클락 게이팅-
dc.subjectgating hierarchy-
dc.subjectclock mesh-
dc.subjectfloorplanning-
dc.subjectdesign guideline-
dc.titleClock mesh design for multi-level clock gating-
dc.title.alternative다계층 클락 게이팅이 적용된 회로를 위한 클락 메쉬의 설계-
dc.typeThesis(Master)-
dc.identifier.CNRN592393/325007 -
dc.description.department한국과학기술원 : 전기및전자공학과, -
dc.identifier.uid020123472-
dc.contributor.localauthorShin, Young-Soo-
dc.contributor.localauthor신영수-
Appears in Collection
EE-Theses_Master(석사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0