Runtime Thermal Management for 3-D Chip-Multiprocessors With Hybrid SRAM/MRAM L2 Cache

Cited 11 time in webofscience Cited 12 time in scopus
  • Hit : 1125
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorLee, Seung Hanko
dc.contributor.authorKang, Kyungsuko
dc.contributor.authorKyung, Chong-Minko
dc.date.accessioned2015-04-08T08:08:04Z-
dc.date.available2015-04-08T08:08:04Z-
dc.date.created2014-07-09-
dc.date.created2014-07-09-
dc.date.created2014-07-09-
dc.date.issued2015-03-
dc.identifier.citationIEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.23, no.3, pp.520 - 533-
dc.identifier.issn1063-8210-
dc.identifier.urihttp://hdl.handle.net/10203/195980-
dc.description.abstractNonvolatile memory such as magnetic RAM (MRAM) offers high cell density and low leakage power while suffering from long write latency and high write energy, compared with SRAM. 3-D integration technology using through-silicon vias enables stacking disparate memory technologies (e. g., SRAM and MRAM) together onto chip-multiprocessors (CMPs). The use of hybrid memories as an on-chip cache can take advantage of the best characteristics that each technology offers. However, the inherent high power density and heat removal limitation in 3-D integrated circuits may incur temperature-related problems. In this paper, we propose a runtime thermal management method for CMPs with the 3-D stacked hybrid SRAM/MRAM L2 cache. The proposed method combines dynamic cache management such as resource allocation, way-based power gating, and data migration with dynamic voltage and frequency scaling of processing cores in a temperature- and energy-aware manner. Experimental results show that the proposed runtime method with the 3-D stacked hybrid L2 cache offers up to 107.37% (55.28% on average) performance improvement and 88.47% (47.65% on average) energy efficiency improvement compared with existing thermal management methods with 3-D stacked SRAM-based L2 cache.-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.subjectPOWER MANAGEMENT-
dc.subjectMEMORY-
dc.subjectDESIGN-
dc.subjectENERGY-
dc.subjectARCHITECTURES-
dc.subjectCONSTRAINTS-
dc.subjectPERFORMANCE-
dc.subjectALLOCATION-
dc.subjectSYSTEMS-
dc.subjectCMPS-
dc.titleRuntime Thermal Management for 3-D Chip-Multiprocessors With Hybrid SRAM/MRAM L2 Cache-
dc.typeArticle-
dc.identifier.wosid000350208700010-
dc.identifier.scopusid2-s2.0-85027928850-
dc.type.rimsART-
dc.citation.volume23-
dc.citation.issue3-
dc.citation.beginningpage520-
dc.citation.endingpage533-
dc.citation.publicationnameIEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS-
dc.identifier.doi10.1109/TVLSI.2014.2311798-
dc.contributor.localauthorKyung, Chong-Min-
dc.contributor.nonIdAuthorKang, Kyungsu-
dc.type.journalArticleArticle-
dc.subject.keywordAuthor3-D integration-
dc.subject.keywordAuthordynamic cache management-
dc.subject.keywordAuthordynamic voltage and frequency scaling (DVFS)-
dc.subject.keywordAuthorhybrid cache-
dc.subject.keywordAuthorthermal management-
dc.subject.keywordPlusPOWER MANAGEMENT-
dc.subject.keywordPlusMEMORY-
dc.subject.keywordPlusDESIGN-
dc.subject.keywordPlusENERGY-
dc.subject.keywordPlusARCHITECTURES-
dc.subject.keywordPlusCONSTRAINTS-
dc.subject.keywordPlusPERFORMANCE-
dc.subject.keywordPlusALLOCATION-
dc.subject.keywordPlusSYSTEMS-
dc.subject.keywordPlusCMPS-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 11 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0