Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 380
  • Download : 0
Issue Date
2009-11-02
Language
ENG
Citation

2009 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2009, pp.478 - 484

URI
http://hdl.handle.net/10203/161907
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0