FBDVerifier: Interactive and Visual Analysis of Counter-example in Formal Verification of Function Block Diagram

Cited 10 time in webofscience Cited 0 time in scopus
  • Hit : 395
  • Download : 0
Model checking is often applied to verify safety-critical software implemented in programmable logic controller (PLC) language such as a function block diagram (FBD). Counter-examples generated by a model checker are often too lengthy and complex to analyze. This paper describes the FBDVerifier which allows domain experts to perform automated model checking and intuitive visual analysis of counter-examples without having to know technical details on temporal logic or the model checker. Once the FBD program is automatically translated into a semantically equivalent Verilog model and model checking is performed using SMV, users can enter various expressions to investigate why verification of certain properties failed. When applied to FBD programs implementing a shutdown system for a nuclear power plant, domain engineers were able to perform effective FBD verification and detect logical errors in the FBD design.
Publisher
AUSTRALIAN COMPUTER SOC INC
Issue Date
2010-08
Language
English
Article Type
Editorial Material
Keywords

SYSTEMS

Citation

JOURNAL OF RESEARCH AND PRACTICE IN INFORMATION TECHNOLOGY, v.42, no.3, pp.171 - 188

ISSN
1443-458X
URI
http://hdl.handle.net/10203/100568
Appears in Collection
NE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 10 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0