Browse by Type Conference

Showing results 1341 to 1360 of 109477

1341
A 12-Bit Mobile OLED/μLED Display Driver IC with Cascaded Loading-Free Capacitive Interpolation DAC and 6.24V/μs-Slew-Rate Buffer Amplifier

Gang, Gyeong-Gu; Koh, Seok Tae; Jang, Woojin; LEE, JIHO; Lee, Seongjoo; Kwon, Ohjo; Jung, Keumdong; et al, 2021 Symposium on VLSI Circuits, IEEE, 2021-06-13

1342
A 12-bit Segmented DAC with a Serial Voltage Adder for AMLCD Column Drivers

Lee, Jae-Seung; Bae, Jeong-Yeol; Han, Seok-Kyun; Lee, Sang-Gug, International Display Workshop(IDW), ITE (Institute of Image Information and Television Engineers), SID(Society for Information Display), 2011-12

1343
A 12.6 mW 8.3 Mevents/s contrast detection 128×128 imager with 75 dB intra-scene DR asynchronous random-access digital readout

Park, Jongkil; Ha, Sohmyung; Kim, Chul; Joshi, Siddharth; Yu, Theodore; Ma, Wei; Cauwenberghs, Gert, 2014 IEEE Biomedical Circuits and Systems Conference (BioCAS), pp.564 - 567, IEEE, 2014-10

1344
A 120 GHz Low Power I/Q transmitter and receiver for short-range Chip to Chip Communication in 65 nm CMOS

Lee, Chae Jun; Son, Hyuk Su; Kang, Dong Min; 장태환; Park, Chul Soon, 2017 International Conference on Electronics, Information, and Communication, ICEIC 2017, 2017-01-12

1345
A 120 GHz Wideband CMOS I/Q Transmitter for Short-Range Wireless Device-to-Device Communication

Kim, Seung Hun; Jang, Tae Hwan; Kang, Dong Min; Park, Chul Soon, IEEE Asia-Pacific Microwave Conference (APMC), pp.39 - 41, IEEE, 2020-12

1346
A 120 GHz Wideband Low-Power Down Converter for Wireless Chip-to-Chip Communication

Lee, Chae Jun; Lee, HeeSung; Kim, Seung-Hun; JANG, TAEHWAN; Kang, Dong Min; Son, Hyuk Su; Byeon, Chul-Woo; et al, 2018 IEEE 18th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), pp.33 - 36, IEEE, 2018-01-15

1347
A 120 GHz wireless radio link for high-speed chip-to-chip communication

Kim, Seung Hun; Jang, Tae Hwan; Kang, Dong Min; Lee, Chae Jun; Son, Hyuk Su; Park, Chul Soon, 2019 IEEE Asia-Pacific Microwave Conference, APMC 2019, pp.375 - 377, Institute of Electrical and Electronics Engineers Inc., 2019-12

1348
A 120-mW 0.16-ms-Latency Connectivity-Scalable Multiuser Detector for Interleave Division Multiple Access

Kong, Byeong Yong; Park, In-Cheol, IEEE International Symposium on Circuits and Systems (ISCAS), pp.470 - 474, IEEE, 2020-10

1349
A 120GHz I/Q Transmitter Front-End in a 40nm CMOS for Wireless Chip to Chip Communication

Lee, Chae Jun; Kim, Seung-Hun; Son, Hyuk Su; Kang, Dong Min; Kim, Joon Hyung; Byeon, Chul-Woo; Park, Chul Soon, 2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), pp.192 - 195, IEEE, 2018-06

1350
A 120Mvertices/s multi-threaded VLIW vertex processor for mobile multimedia applications

Yu, C.-H.; Chung, K.; Kim, D.; Kim, Lee-Sup, 2006 IEEE International Solid-State Circuits Conference, ISSCC, 2006-02-06

1351
A 120mW embedded 3D graphics rendering engine with 6Mb logically local frame-buffer and 3.2GByte/s run-time reconfigurable bus for PDA-chip

Woo, R.; Yoon, C.-W.; Kook, J.; Lee, S.-J.; Lee, K.; Park, Y.-H.; Yoo, Hoi-Jun, 2001 VLSI Circuits Symposium, pp.95 - 98, IEEE, 2001-06-14

1352
A 124.9fps Memory-Efficient Hand Segmentation Processor for Hand Gesture in Mobile Devices

Yoo, Hoi-Jun; Sungpill Choi; Seongwook Park; Gyeonghoon Kim, IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, 2015-05-25

1353
A 125,582 vector/s Throughput and 95.1% Accuracy ANN Searching Processor with Neuro-Fuzzy Vision Cache for Real-time Object Recognition

Hong, Injoon; Park, Junyoung; Kim, Gyeonghoon; Oh, Jinwook; Yoo, Hoi-Jun, Symposium on VLSI Circuits (VLSIC), 2013, pp.C184 - C185, IEEE, 2013-06-14

1354
A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual-Attention Engine

Kim, Kwanho; Lee, Seungjin; Kim, Joo-Young; Kim, Minsu; Kim, Donghyun; Woo, Jeong-Ho; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.308 - 310, IEEE, 2008-02-03

1355
A 126.1mW Real-Time Natural UI/UX Processor with Embedded Deep-Learning Core for Low-Power Smart Glasses

Yoo, Hoi Jun; Park, Seongwook; Choi, Sungpill; Lee, Jinmook, IEEE International Solid-State Circuits, IEEE, 2016-02

1356
A 12Gb/s 0.92mW/Gb/s Forwarded Clock Receiver Based on ILO with 60MHz Jitter Tracking Bandwidth Variation Using Duty Cycle Adjuster in 65nm CMOS

Kim, Young Ju; Kim, Lee Sup, 2013 IEEE Symposium on VLSI Circuits, pp.C236 - C237, IEEE, 2013-06-14

1357
A 13 bit 2.5 MHz Self-Calibrated Pipelined A/D Converter in 3um CMOS

Beom-Sup Kim, IEEE Symposium on VLSI CIrcuits, pp.33 - 34, 1990

1358
A 13 dB IIP3 improved low-power CMOS RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications

Kim, T.W.; Kim, B.; Cho, Y.; Kim, B.; Lee, Kwyro, 2005 Symposium on VLSI Circuits, v.2005, pp.344 - 347, 2005-06-16

1359
A 13.56 MHz time-interleaved resonant-voltage-mode wireless-power receiver with isolated resonator and quasi-resonant boost converter for implantable systems

Shin, Seun; Cho, Gyu-Hyeong; Choi, Minseong; Koh, Seok Tae; Yang, Yujin; Jung, Seungchul; Sohn, Young-Hoon; et al, 2018 IEEE International Solid-State Circuits Conference, ISSCC 2018, pp.154 - 156, IEEE, 2018-02-14

1360
A 13.56MHz receiver SoC for multi-standard RFID reader

Seo, M. W.; Choi, Y. C.; Kim, Y.-H.; Yoo, Hyung Joun, 2008 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC, pp.1 - 4, IEEE, 2008-12-08

rss_1.0 rss_2.0 atom_1.0