Researcher Page

사진
Yoo, Hoi-Jun (유회준)
교수, (전기및전자공학부)
Co-researchers
    Similar researchers

    Keyword Cloud

    Reload 더보기
    NO Title, Author(s) (Publication Title, Volume Issue, Page, Issue Date)
    1
    C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture

    Kim, Sangyeob; Kim, Soyeon; Hong, Seongyon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.157 - 172, 2024-01

    2
    DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell

    Kim, Sangjin; Li, Zhiyong; Um, Soyeon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.102 - 115, 2024-01

    3
    MetaVRain: A Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid DNN Computing

    Han, Donghyeon; Ryu, Junha; Kim, Sangyeob; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.65 - 78, 2024-01

    4
    Neuro-CIM: ADC-Less Neuromorphic Computing-in-Memory Processor With Operation Gating/Stopping and Digital-Analog Networks

    Kim, Sangyeob; Kim, Sangjin; Um, Soyeon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.10, pp.2931 - 2945, 2023-10

    5
    SNPU: An Energy-Efficient Spike Domain Deep-Neural-Network Processor With Two-Step Spike Encoding and Shift-and-Accumulation Unit

    Kim, Sangyeob; Kim, Sangjin; Um, Soyeon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.10, pp.2812 - 2825, 2023-10

    6
    A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation

    Im, Dongseok; Park, Gwangtae; Li, Zhiyong; et al, IEEE MICRO, v.43, no.3, pp.74 - 82, 2023-05

    7
    An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache

    Li, Zhiyong; Kim, Sangjin; Im, DongSeok; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.3, pp.614 - 623, 2023-03

    8
    DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition With Sensor Fusion and 3-D Perception SoC

    Im, Dongseok; Park, Gwangtae; Ryu, Junha; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.1, pp.177 - 188, 2023-01

    9
    A 23-mu W Keyword Spotting IC With Ring-Oscillator-Based Time-Domain Feature Extraction

    Kim, Kwantae; Gao, Chang; Graca, Rui; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.11, pp.3298 - 3311, 2022-11

    10
    Energy-Efficient DNN Training Processors on Micro-AI Systems

    Han, Donghyeon; Kang, Sanghoon; Kim, Sangyeob; et al, IEEE Open Journal of the Solid-State Circuits Society, v.2, pp.259 - 275, 2022-11

    11
    FlashMAC: A Time-Frequency Hybrid MAC Architecture With Variable Latency-Aware Scheduling for TinyML Systems

    Gweon, Surin; Kang, Sanghoon; Kim, Kwantae; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.10, pp.2944 - 2956, 2022-10

    12
    A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

    Song, Seokchan; Kim, Soyeon; Park, Gwangtae; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2443 - 2447, 2022-05

    13
    A 36.2 dB High SNR and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented BL and Reference Cell Array

    Ha, Sangwoo; Kim, Sangjin; Han, Donghyeon; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2433 - 2437, 2022-05

    14
    OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer

    Lee, Juhyoung; Kim, Sangyeob; Kim, Sangjin; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.4, pp.999 - 1012, 2022-04

    15
    TSUNAMI: Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning

    Kim, Sangyeob; Lee, Juhyoung; Kang, Sanghoon; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1494 - 1506, 2022-04

    16
    A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices

    Kim, Sangjin; Kim, Sangyeob; Lee, Juhyoung; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1507 - 1518, 2022-04

    17
    A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation

    Han, Donghyeon; Im, Dongseok; Park, Gwangtae; et al, IEEE MICRO, v.42, no.2, pp.16 - 24, 2022-03

    18
    A Pipelined Point Cloud Based Neural Network Processor for 3-D Vision With Large-Scale Max Pooling Layer Prediction

    Im, Dongseok; Han, Donghyeon; Kang, Sanghoon; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.2, pp.661 - 670, 2022-02

    19
    Design of Sub-10-mu W Sub-0.1% THD Sinusoidal Current Generator IC for Bio-Impedance Sensing

    Kim, Kwantae; Kim, Sangyeob; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.2, pp.586 - 595, 2022-02

    20
    ECIM: Exponent Computing in Memory for an Energy-Efficient Heterogeneous Floating-Point DNN Training Processor

    Lee, Juhyoung; Kim, Jihoon; Jo, Wooyoung; et al, IEEE MICRO, v.42, no.1, pp.99 - 107, 2022-01

    Load more items
    Loading...

    rss_1.0 rss_2.0 atom_1.0