Browse "College of Engineering(공과대학)" by Type Conference

Showing results 1081 to 1140 of 90910

1081
A 1-to-4 all-optical multi-wavelength conversion using absorption modulation of an injection-locked Fabry-Perot laser diode

Hoai, T.Q.; Jeong, YD; Cho, JS; Won, Yong Hyub, COIN-NGNCON 2006 - The Joint International Conference on Optical Internet and Next Generation Network, pp.337 - 339, 2006-07-09

1082
A 1-W, 800-MHz, switch-mode cmos RF power amplifier using an on-chip transformer with double primary sides

Shim, S.; Hong, Songcheol, 2009 IEEE Radio and Wireless Symposium, RWS 2009, pp.538 - 541, 123, 2008-01-18

1083
A 1.02 μW STT-MRAM based DNN ECG Arrhythmia Monitoring SoC with Leakage-Based Delay MAC Unit

Lee, Kyoung-Rog; Yoo, Hoi-Jun; KIM, JIHOON; Kim, Changhyun; Han, D; LEE, JUHYOUNG; Lee, Jinsu; et al, IEEE A-SSCC (Asian Solid-State Circuits Conference), Institute of Electrical and Electronics Engineers Inc., 2020-11-09

1084
A 1.12mW continuous healthcare monitor chip integrated on a planar fashionable circuit board

Kim, H.; Kim, Y.; Kwon, Young Se; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.150 - 151, 2008-02-03

1085
A 1.12pJ/b resonance compensated inductive transceiver with a fault-tolerant network controller for wearable body sensor networks

Yoo, J.; Lee, S.; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.313 - 316, 2008-11-03

1086
A 1.14 kW magnetic energy harveseting near power line by considering saturation effect

Park, Bumjin; Kim, Dongwook; Park, Jaehyoung; Shin, Yujun; Koo, Jay; Jeong, Okhyun; Ahn, Seungyoung, 31st International Electric Vehicle Symposium and Exhibition, EVS 2018 and International Electric Vehicle Technology Conference 2018, EVTeC 2018, Society of Automotive Engineers of Japan Inc, 2018-09

1087
A 1.1mW 32-thread Artificial Intelligence Processor with 3-level Transposition Table and On-chip PVT Compensation for Autonomous Mobile Robots

Yoo, Hoi Jun; Kim, Youchang; Shin, Dongjoo; Lee, Jinsu, IEEE Symposium on Low-Power and High-Speed Chips, IEEE, 2016-04

1088
A 1.22 TOPS and 1.52 mW/MHz Augmented Reality Multicore Processor With Neural Network NoC for HMD Applications

Kim, Gyeonghoon; Kim, Youchang; Lee, Kyuho; Park, Seongwook; Hong, Injoon; Bong, Kyeongyeol; Shin, Dongjoo; et al, 2014 IEEE International Solid-State Circuits Conference, pp.182 - 184, IEEE, 2014-02-11

1089
A 1.22mW/Gb/s 9.6Gb/s Data Jitter Mixing Forwarded-Clock Receiver Robust against Power Noise with 1.92ns Latency Mismatch between Data and Clock in 65nm CMOS

Chung, Sang-Hye; Kim, Lee-Sup, 2012 IEEE Symposium on VLSI Circuits, IEEE, 2012-06-15

1090
A 1.23W/mm283.7%-Efficiency 400MHz 6-Phase Fully Integrated Buck Converter in 28nm CMOS with On-Chip Capacitor Dynamic Re-Allocation for Inter-Inductor Current Balancing and Fast DVS of 75mV/ns

Cho, Jeong-Hyun; Kim, Dong-Kyu; Bae, Hong-Hyun; Lee, Yong-Jin; Koh, Seok-Tae; Choo, Younghwan; Paek, Ji-Seon; et al, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.298 - 300, Institute of Electrical and Electronics Engineers Inc., 2022-02

1091
A 1.25 Gb/s High Sensitive Peak Detector in Optical Burst-Mode Receiver Using a 0.18um CMOS Technology

Seo, J.-W.; Han, S.; Lee, S.-G.; Lee, Man Seop; Yoo, T.W., 2003 International Conference on Communication Technology, ICCT 2003, v.1, pp.644 - 646, 2003-04-09

1092
A 1.25Gbit/s AC-Coupled Burst-Mode Receiver for Ethernet PON and Its Deomonstration of Experimental Optical Packet Transmission

Lee, Man Seop, OECC/COIN 2004, 2004-07

1093
A 1.25V Direct Conversion CMOS Transmitter Front- End for 900 MHz ZigBee Applications

Lee, Sang-Gug, IEEE Midwest International Conference on Circuit And System, pp.0 - 0, 2003-12-01

1094
A 1.2Mpixels/s/mW 3-D rendering processor for portable multimedia application

Woo, J.-H.; Lee, M.-W.; Kim, H.; Sohn, J.-H.; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference, ASSCC 2005, pp.297 - 300, 2005-11-01

1095
A 1.2mW on-line learning mixed mode intelligent inference engine for robust object recognition

Oh, Jinwook; Lee, Seungjin; Kim, Minsu; Kwon, Joonsoo; Park, Junyoung; Kim, Joo-Young; Yoo, Hoi-Jun, 2010 24th Symposium on VLSI Circuits, VLSIC 2010, pp.17 - 18, Institute of Electrical and Electronics Engineers Inc., 2010-06-16

1096
A 1.3 pJ/bit Energy-Efficient Ultra-Low Power On-off mode Oscillator Using an InP-based Quantum-effect Tunneling Device

Lee, Jooseok; Lee, Jongwon; Park, Jaehong; Kim, Maengkyu; Yang, Kyounghoon, IEEE International Conference on InP and Related Materials, IEEE, 2012-08

1097
A 1.32 TOPS/W Energy Efficient Deep Neural Network Learning Processor with Direct Feedback Alignment based Heterogeneous Core Architecture

Han, Donghyeon; Lee, Jinsu; Lee, Jinmook; Yoo, Hoi-Jun, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C304 - C305, Institute of Electrical and Electronics Engineers Inc., 2019-06

1098
A 1.3pJ/b inductive coupling transceiver with adaptive gain control for Cm-range 50Mbps data communication

Lee, S.; Yoo, J.; Song, K.; Yoo, Hoi-Jun, 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009, pp.297 - 300, 2009-11-16

1099
A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs

Shin, Dongjoo; Kim, Youchang; Yoo, Hoi-Jun, 30th IEEE International System on Chip Conference, SOCC 2017, pp.138 - 142, IEEE Computer Society, 2017-09

1100
A 1.42TOPS/W Deep Convolutional Neural Network Recognition Processor for Intelligent IoT Systems

Sim, Jae Hyeong; Park, Jun Seok; Kim, Min Hye; Bae, Dong Myung; Choi, Yeong Jae; Kim, Lee Sup, 2016 IEEE ISSCC, IEEE solid-state circuits society, 2016-02-02

1101
A 1.4mΩ-sensitivity 94dB-dynamic-range electrical impedance tomography SoC and 48-channel Hub SoC for 3D lung ventilation monitoring system

Kim, Minseo; Kim, Hyunki; Jang, Jaeeun; Lee, Jihee; Lee, Jaehyuk; Lee, Jiwon; Lee, Kyoung-Rog; et al, 64th IEEE International Solid-State Circuits Conference (ISSCC), pp.354, IEEE, 2017-02

1102
A 1.4V 10.5MHz swing-boosted differential relaxation oscillator with 162.1dBc/Hz FOM and 9.86psrms period jitter in 0.18µm CMOS

Lee, Junghyup; George, Arup; Je, Minkyu, IEEE International Solid-State Circuits Conference (ISSCC), IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 2016-02-01

1103
A 1.5-GHz 63dB SNR 20mW direct RF sampling bandpass VCO-based ADC in 65nm CMOS

Yoon, Y.-G.; Cho, SeongHwan, 2009 Symposium on VLSI Circuits, pp.270 - 271, 123, 2009-06-16

1104
A 1.55ns 0.015 mm2 64-bit quad number comparator

Kim, M.; Kim, J.-Y.; Yoo, Hoi-Jun, 2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09, pp.283 - 286, 2009-04-28

1105
A 1.5nJ/pixel Super-Resolution Enhanced FAST Corner Detection Processor for High Accuracy AR

Yoo, Hoi-Jun; Park, Seongwook; Kim, Gyeonghoon; Park, Junyoung, European Solid State Circuits Conference (ESSCIRC), pp.191 - 194, IEEE, 2014-09-23

1106
A 1.5um laser package frequency-locked with a novel miniature discharge lamp

Chung, Yun Chur; Derosier, RM; Presby, HM; Burrus, CA; Akai, Y; Masuda, N, Optical Fiber Communication Conference, 1992

1107
A 1.5V, 140uA CMOS ultra-low power common-gate LNA

Jeong C.J.; Qu W.; Sun Y.; Yoon D.Y.; Han S.K.; Lee, Sang-Gug, 2011 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2011, IEEE, 2011-06-05

1108
A 1.67 GHz 32-bit pipelined carry-select adder using the complementary scheme

Kim, Y.; Sung, K.-H.; Kim, Lee-Sup, 2002 IEEE International Symposium on Circuits and Systems, pp.I-461 - I-464, IEEE, 2002-05-26

1109
A 1.7-GHz GaN MMIC Doherty Power Amplifier using an Adaptive Bias Circuit with a Quadrature Coupler

Lee, Seungkyeong; Lee, Sangmin; Hong, Songcheol, 2017 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2017), IEEE, 2017-09-01

1110
A 1.8 to 2.4-GHz 20mW digital-intensive RF sampling receiver with a noise-canceling bandpass low-noise amplifier in 90nm CMOS

Lee, J.; Kim, J.; Cho, SeongHwan, 2010 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2010, pp.293 - 296, IEEE, 2010-05-23

1111
A 1.8dB NF 112mW single-chip diversity tuner for 2.6GHz S-DMB applications

Hwang, M.-W.; Beck, S.; Min, S.; Lee, S.; Yoo, S.; Lim, K.; Jung, H.; et al, 2006 IEEE International Solid-State Circuits Conference, ISSCC, IEEE, 2006-02-06

1112
A 1.9 GHz High Dynamic Range CMOS Power Amplifier

홍성철; 박창근; 김윤석; 한정후; 이동호; 백동현, 실리콘RF집적회로 기술워크샵, pp.438 -, 2005

1113
A 1.93 TOPS/W Scalable Deep Learning/Inference Processor with Tetra-parallel MIMD Architecture for Big Data Applications

Yoo, Hoi-Jun; Park, Seongwook; Bong, Kyeongryeol; Shin, Dongjoo; Lee, Jinmook; Choi, Sungpill, IEEE International Solid- State Circuits Conference, pp.80 - 81, IEEE, 2015-02-23

1114
A 1.9nJ/pixel Embedded Deep Neural Network Processor for High Speed Visual Attention in a Mobile Vision Recognition SoC

Yoo, Hoi Jun; Hong, In Joon; Park, Seong Wook; Park, Jun Young, IEEE Asian Solid-State Circuits Conference(A-SSCC), pp.185 - 188, IEEE, 2015-11-10

1115
A 10 bit gray scale digital-to-analog converter with an interpolating buffer amplifier for AMLCD column drivers

Lee, H.-M.; Son, Y.-S.; Jeon, Y.-J.; Jeon, J.-Y.; Lee, G.-H.; Jung, S.-C.; Cho, Gyu-Hyeong, 2007 SID International Symposium, pp.346 - 349, Society for Information Display, 2007-05-23

1116
A 10 bit piecewise linear cascade interpolation dac with loop gain ratio control

Lee, Sungwoo; Kim, Kiduk; Park, Kyusung; Park, Changbyung; Lee, Byunghun; Jeon, Jinyong; Jung, Seungchul; et al, 2010 IEEE Custom Integrated Circuits Conference -CICC 2010, IEEE, 2010-09

1117
A 10 bit piecewise linear cascade interpolation DAC with loop gain ratio control

Lee, S.; Kim, K.; Park, K.; Park, C.; Lee, B.; Jeon, J.; Huh, J.; et al, 32nd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2010, CICC 2010, 2010-09-19

1118
A 10 Bits Modified VCC Interpolation and DVO Correction by Drain Current Injection

Lee, Sungwoo; Kim, Ki-Duk; Park, Kyu-Sung; Park, Chang-Byung; Lee, Byung-Hun; Jeon, Jin-Yong; Jung, Seung-Chul; et al, 2010 SID Symposium, pp.58 - 61, Wiley, 2010-05

1119
A 10 nV/rt Hz noise level 32-channel neural impedance sensing ASIC for local activation imaging on nerve section

Kim, Jong Pal; Lee, Wonseok; Suh, Junyeub; Lee, Hyungwoo; Lee, Kyuil; Ahn, Ho Young; Seo, Min-Jae; et al, 42nd Annual International Conference of the IEEE-Engineering-in-Medicine-and-Biology-Society (EMBC), pp.4012 - 4015, IEEE, 2020-07

1120
A 10-bit 300Msample/s pipelined ADC using time-interleaved SAR ADC for front-end stages

Kim, Y.-H.; Lee, J.; Cho, SeongHwan, 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, ISCAS 2010, pp.4041 - 4044, IEEE, 2010-05-30

1121
A 10-bit Modified VCC Interpolation and DVO Correction Using Drain-Current Injection

Cho, Gyu-Hyeong; Lee, SW; Park, GS; Kim, KD; Park, CB; Lee, BH; Jeon, JY; et al, SID 10 DIGEST, pp.58 - 61, SID 10 DIGEST, 2010-05-28

1122
A 10-bit Serial Integration-Type DAC Architecture for AMLCD Column Drivers

Cho, Gyu-Hyeong; Kim, Ki-Duk; Woo, Young-Jin; Lee, Sung-Woo; Jeon, Yong-Joon; Jeon, Jin-Yong; Yang, Jun-Hyeok; et al, SID International Symposium, pp.379 - 382, 2009

1123
A 10-Phase 270MHz 5000ppm spread spectrum clock generator

Lee, W.-Y.; Kim, Lee-Sup, 2008 International SoC Design Conference, ISOCC 2008, 2008-11-24

1124
A 10-uW digital signal processor with adaptive-SNR monitoring for a sub-1V digital hearing aid

Yoo, J.; Kim, S.; Cho, N.; Song, S.-J.; Yoo, Hoi-Jun, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, pp.3361 - 3364, 2006-05-21

1125
A 10-µs-tuning MEMS-actuated Gires-Tournois filter for use as a tunable wavelength demultiplexer and a tunable OCDMA encoder/decoder

Ebrahimi, Paniz; Kargar, Mahyar; Hauer, Michelle C.; Willner, Alan E.; Yu, Kyoungsik; Solgaard , Olav, OFC(Optical Fiber Communication Conference) , OFC, 2004

1126
A 10.1" 56-channel, 183 uW/electrode, 0.73 mm2/sensor high SNR 3D hover sensor based on enhanced signal refining and fine error calibrating techniques

Huh, Yeunhee; Hong, Sung-Wan; Park, Sang-Hui; Bang, Jun-Suk; Park, Changbyung; Park, Sungsoo; Gwon, Hui-Dong; et al, 31st Symposium on VLSI Circuits, pp.C308 - C309, IEEE, 2017-06

1127
A 10.4 mW Electrical Impedance Tomography SoC for Portable Real-Time Lung Ventilation Monitoring System

Yoo, Hoi-Jun; Hong, Sunjoo; Lee, Jaehyuk; Bae, Joonsung, IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.193 - 196, IEEE, 2014-11-12

1128
A 10.8mW body-channel-communication/MICS dual-band transceiver for a unified body-sensor-network controller

Cho, N.; Bae, J.; Kim, S.; Yoo, Hoi-Jun, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, 2009-02-08

1129
A 100-GbE Reverse Gearbox IC in 40nm CMOS for Supporting Legacy 10-and 40-GbE Standards

Yoon, Taehun; Lee, Joon Young; Han, Kwangseok; Lee, Jeongsup; Lee, Sangeun; Kim, Taeho; Won, Hyo Sup; et al, 2015 SYMPOSIUM ON VLSI CIRCUITS, pp.C212 - C213, The Japan Society of Applied Physics, The IEEE Solid-State Circuits Society, 2015-06-18

1130
A 100Mb/s Galvanically-Coupled Body-Channel-Communication Transceiver with 4.75pJ/b TX and 26.8 pJ/b RX for Bionic Arms

Jeon, Yeseul; Jung, Chongsoo; Cheon, Song-I; Cho, Hyungjoo; Suh, Ji-Hoon; Jeon, Hyuntak; Koh, Seok-Tae; et al, 33rd Symposium on VLSI Circuits, VLSI Circuits 2019, pp.C292 - C293, Institute of Electrical and Electronics Engineers Inc., 2019-06-13

1131
A 100MHz-to-1GHz open-loop ADDLL with fast lock-time for mobile applications

Kim, M.-J.; Kim, Lee-Sup, 32nd Annual Custom Integrated Circuits Conference - The Showcase for Circuit Design in the Heart of Silicon Valley, CICC 2010, CICC 2010, 2010-09-19

1132
A 100mK-NETD 100ms-startup-time 80×60 micro-bolometer CMOS thermal imager integrated with a 0.234mm2 1.89μV<inf>rms</inf> noise 12b biasing DAC

Kim, Ki Duk; Park, Seunghyun; Yoon, Kye Seok; Kang, Gyeong Gu; Han, Hyun Ki; Choi, Ji Su; Ko, Min Woo; et al, 2018 IEEE International Solid-State Circuits Conference (ISSCC), pp.192, IEEE, 2018-02-13

1133
A 105dB-Gain 500MHz-bandwidth 0.1Ω-output-impedance amplifier for an amplitude modulator in 65nm CMOS

Kim, Chul; Chae, Chang-seok; Yuk, Young-sub; Kim, Yi-Gyeong; Kwon, Jong-Kee; Cho, Gyu-Hyeong, 2010 IEEE International Solid- State Circuits Conference - (ISSCC), pp.88 - 89, IEEE, 2010-02

1134
A 10b 50MS/s pipelined ADC with opamp current reuse

Ryu, Seung-Tak; Song, Bang-Sup; Bacrania, Kanti, IEEE, pp.216 - 217, 2006-02

1135
A 10b column driver with variable-current-control interpolation for mobile active-matrix LCDs

Lee, H.-M.; Jeon, Y.-J.; Lee, S.-W.; Cho, Gyu-Hyeong; Kim, H.-R.; Choi, Y.-K.; Lee, M., 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, 2009-02-08

1136
A 10b linear interpolation DAC using body-transconductance control for AMLCD column driver

Park, C.; Kim, K.-D.; Lee, S.-W.; Park, G.-S.; Ryu, Seung-Tak; Cho, G.-H., 2010 6th IEEE Asian Solid-State Circuits Conference, pp.165 - 168, IEEE, 2010-11-08

1137
A 10b Source-Driver IC with LSB-Stacked LV-to-HV-Amplify DAC Achieving 2688m2/channel and 4.8mV DVO for Mobile OLED Displays

Lim, Gyu-Wan; Kang, Gyeong-Gu; Ma, Hyunggun; Jeong, Moonjae; Kim, Hyun-Sik, 2022 IEEE International Solid-State Circuits Conference, ISSCC 2022, pp.110 - 112, Institute of Electrical and Electronics Engineers Inc., 2022-02-20

1138
A 10Gb/s MLSE-based electronic-dispersion-compensation IC with fast power-transient management for WDM Add/drop networks

Bae, Hyeon-Min; Ashbrook, J.; Shanbhag, N.; Singer, A., Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v.51, no.0, pp.609 - 219, Lewis Winner, 2008

1139
A 10Gbps/port 8x8 Shared Bus Switch with embedded DRAM Hierarchical Output Buffer

Yoo, Hoi-Jun, IEEE ESSCIRC 2003, pp.461 - 464, 2003-09

1140
A 10Mb/s 4ns jitter direct conversion low modulation index FSK demodulator for low-energy body sensor network

Roh, T.; Bae, J.; Yoo, Hoi-Jun, 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, ISCAS 2010, pp.3004 - 3007, IEEE, 2010-05-30

rss_1.0 rss_2.0 atom_1.0