Pulse width allocation and clock skew scheduling : Optimizing sequential circuits based on pulsed latches펄스 폭 할당 및 클락 스큐 스케쥴링을 이용한 펄스 래치 순차 회로의 최적화 기법

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 629
  • Download : 0
DC FieldValueLanguage
dc.contributor.advisorShin, Young-Soo-
dc.contributor.advisor신영수-
dc.contributor.authorLee, Hye-In-
dc.contributor.author이혜인-
dc.date.accessioned2011-12-14T02:08:10Z-
dc.date.available2011-12-14T02:08:10Z-
dc.date.issued2009-
dc.identifier.urihttp://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=308848&flag=dissertation-
dc.identifier.urihttp://hdl.handle.net/10203/38728-
dc.description학위논문(석사) - 한국과학기술원 : 전기및전자공학전공, 2009.2, [ vii, 47 p. ]-
dc.description.abstractPulsed latches, latches driven by a brief clock pulse, offer the convenience of timing verification and optimization as in flip-flop-based circuits, while retaining superior design parameters of latches over flip-flops. But, pulsed latch-based design using a single pulse width has a limitation in reducing its clock period, which can be used for higher frequency or for lower $V_{dd}$. The limitation still exists even when clock skew scheduling is employed, since the amount of skew that can be assigned and realized is practically limited due to process variation. The problem of allocating pulse widths (out of small discrete number of predefined widths) and scheduling clock skews (within prescribed upper bound of skew that can be reliably realized) is formulated, for the first time, for optimizing pulsed latch-based sequential circuits. An algorithm called PWCS_Optimize is proposed to solve the problem; the allocated skews are realized through synthesis of local clock trees between pulse generators and latches, and a global clock tree between a clock source and pulse generators. Experiments with 65-nm technology demonstrate that small number of variety of pulse widths (up to 5) combined with clock skews (up to 10% of clock period) yield minimum achievable clock period for many benchmark circuits and figure of merit of 0.86 on average (1.0 indicates minimum clock period), while cutting area by 11% on average. The design flow including PWCS_Optimize, placement and routing, and synthesis of local and global clock trees is presented and assessed with example circuits.eng
dc.languageeng-
dc.publisher한국과학기술원-
dc.subjectclock skew scheduling-
dc.subjectpulsed latch-
dc.subjectsequential circuit-
dc.subjectclock tree-
dc.subjectclock period-
dc.subject클락 스큐 스케쥴링-
dc.subject펄스 래치-
dc.subject순차 회로-
dc.subject클락 트리-
dc.subject클락 주기-
dc.subjectclock skew scheduling-
dc.subjectpulsed latch-
dc.subjectsequential circuit-
dc.subjectclock tree-
dc.subjectclock period-
dc.subject클락 스큐 스케쥴링-
dc.subject펄스 래치-
dc.subject순차 회로-
dc.subject클락 트리-
dc.subject클락 주기-
dc.titlePulse width allocation and clock skew scheduling-
dc.title.alternative펄스 폭 할당 및 클락 스큐 스케쥴링을 이용한 펄스 래치 순차 회로의 최적화 기법-
dc.typeThesis(Master)-
dc.identifier.CNRN308848/325007 -
dc.description.department한국과학기술원 : 전기및전자공학전공, -
dc.identifier.uid020073457-
dc.contributor.localauthorShin, Young-Soo-
dc.contributor.localauthor신영수-
dc.title.subtitleOptimizing sequential circuits based on pulsed latches-
Appears in Collection
EE-Theses_Master(석사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0