Energy-efficient real-time object recognition processor with visual attention engine시각집중 가속기를 집적한 에너지 효율적인 실시간 물체 인식 프로세서

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 321
  • Download : 0
DC FieldValueLanguage
dc.contributor.advisorYoo, Hoi-Jun-
dc.contributor.advisor유회준-
dc.contributor.authorKim, Kwan-Ho-
dc.contributor.author김관호-
dc.date.accessioned2011-12-14-
dc.date.available2011-12-14-
dc.date.issued2009-
dc.identifier.urihttp://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=327767&flag=dissertation-
dc.identifier.urihttp://hdl.handle.net/10203/35534-
dc.description학위논문(박사) - 한국과학기술원 : 전기및전자공학전공, 2009. 8., [ 109 p. ]-
dc.description.abstractAs object recognition requires huge computation power to deal with complex image processing tasks, it is very challenging to meet real-time processing demands under low-power constraints for embedded systems. In this thesis, an energy-efficient real-time object recognition processor is designed and implemented with bio-inspired visual attention engine. This thesis presents various aspects for the object recognition processor from algorithm, architecture to the system demonstration. Bio-inspired attention-based object recognition algorithm is devised to reduce computational complexity of the object recognition. The object recognition processor contains an ARM10-compatible 32-bit main processor, 8 SIMD PE clusters with 8 processing elements in each cluster, a cellular neural network based visual attention engine (VAE), a matching accelerator, and a DMA-like external interface. The proposed processor has three key features to optimize energy efficiency of the object recognition processor: attention-based object recognition SoC with the VAE, a configurable SIMD/MIMD dual-mode parallelism, and a low-latency application-specific NoC. The VAE with 2-D shift register array is utilized to accelerate visual attention algorithm for selecting salient image regions rapidly. The dual-mode parallel processor is configured into SIMD or MIMD modes to perform data-intensive image processing operations within only the pre-selected attention regions while exploiting pixel-level and object-level parallelisms required for the attention-based object recognition. The low-latency NoC employs dual channel, adaptive switching and packet-based power management, providing 76.8 GB/s aggregated bandwidth. The performance analysis results using a cycle-accurate architecture simulator show the proposed architecture improves the energy efficiency by 69\% and recognition speed by 38\% over the previous implementation. The chip, fabricated in a 0.13 μm 1P 8M CMOS process, takes die size of $36 ...eng
dc.languageeng-
dc.publisher한국과학기술원-
dc.subjectObject Recognition-
dc.subjectVisual Attention Engine-
dc.subjectMulti-Core-
dc.subjectNetwork-on-Chip-
dc.subject물체인식-
dc.subject시각집중 가속기-
dc.subject다중코어-
dc.subject네트워크 온칩-
dc.subjectObject Recognition-
dc.subjectVisual Attention Engine-
dc.subjectMulti-Core-
dc.subjectNetwork-on-Chip-
dc.subject물체인식-
dc.subject시각집중 가속기-
dc.subject다중코어-
dc.subject네트워크 온칩-
dc.titleEnergy-efficient real-time object recognition processor with visual attention engine-
dc.title.alternative시각집중 가속기를 집적한 에너지 효율적인 실시간 물체 인식 프로세서-
dc.typeThesis(Ph.D)-
dc.identifier.CNRN327767/325007 -
dc.description.department한국과학기술원 : 전기및전자공학전공, -
dc.identifier.uid020065019-
dc.contributor.localauthorYoo, Hoi-Jun-
dc.contributor.localauthor유회준-
Appears in Collection
EE-Theses_Ph.D.(박사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0