Analysis of Fluorine Effects on Charge-Trap Flash Memory of W/TiN/Al2O3/Si3N4/SiO2/Poly-Si Gate Stack

Cited 4 time in webofscience Cited 4 time in scopus
  • Hit : 837
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorLee, Tae Yoonko
dc.contributor.authorLee, Seung Hwanko
dc.contributor.authorSon, Jun Wooko
dc.contributor.authorLee, Sang Jaeko
dc.contributor.authorBong, Jae Hoonko
dc.contributor.authorShin, Eui Joongko
dc.contributor.authorKim, Sung Hoko
dc.contributor.authorHwang, Wan Sikko
dc.contributor.authorMoon, Jung Minko
dc.contributor.authorChoi, Yang-Kyuko
dc.contributor.authorCho, Byung-Jinko
dc.date.accessioned2019-12-13T01:20:50Z-
dc.date.available2019-12-13T01:20:50Z-
dc.date.created2019-11-21-
dc.date.created2019-11-21-
dc.date.created2019-11-21-
dc.date.created2019-11-21-
dc.date.issued2020-02-
dc.identifier.citationSOLID-STATE ELECTRONICS, v.164, pp.107713-
dc.identifier.issn0038-1101-
dc.identifier.urihttp://hdl.handle.net/10203/268747-
dc.description.abstractA charge-trap flash (CTF) memory stack of chemical vapor deposition (CVD) tungsten (W) was systematically compared with a physical vapor deposited (PVD) W memory stack. The residual F in the CVD W was diffused into Al2O3, Si3N4, SiO2, and the interface at SiO2/poly-Si after the subsequent annealing process at 900 °C for 1 s. The diffused F increased the SiO2 thickness and altered the charge-trap density in the Al2O3, Si3N4, SiO2, and SiO2/poly-Si interface, and this eventually affected memory performance and reliability. The memory window and program/erase retention properties degraded while the charge-transport and endurance characteristics improved with the CVD W memory as compared to the PVD W memory.-
dc.languageEnglish-
dc.publisherPERGAMON-ELSEVIER SCIENCE LTD-
dc.titleAnalysis of Fluorine Effects on Charge-Trap Flash Memory of W/TiN/Al2O3/Si3N4/SiO2/Poly-Si Gate Stack-
dc.typeArticle-
dc.identifier.wosid000504462700004-
dc.identifier.scopusid2-s2.0-85075628470-
dc.type.rimsART-
dc.citation.volume164-
dc.citation.beginningpage107713-
dc.citation.publicationnameSOLID-STATE ELECTRONICS-
dc.identifier.doi10.1016/j.sse.2019.107713-
dc.contributor.localauthorChoi, Yang-Kyu-
dc.contributor.localauthorCho, Byung-Jin-
dc.contributor.nonIdAuthorLee, Tae Yoon-
dc.contributor.nonIdAuthorLee, Seung Hwan-
dc.contributor.nonIdAuthorSon, Jun Woo-
dc.contributor.nonIdAuthorLee, Sang Jae-
dc.contributor.nonIdAuthorHwang, Wan Sik-
dc.description.isOpenAccessN-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorChemical vapor deposition (CVD)-
dc.subject.keywordAuthorTungsten (W)-
dc.subject.keywordAuthorFluorine (F)-
dc.subject.keywordAuthorCharge-trap flash (CTF)-
dc.subject.keywordAuthorMemory device-
dc.subject.keywordPlusOXIDE-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 4 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0