BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems

Cited 27 time in webofscience Cited 26 time in scopus
  • Hit : 308
  • Download : 0
DC FieldValueLanguage
dc.contributor.authorWang, Chaoko
dc.contributor.authorZhou, Junko
dc.contributor.authorWeerasekera, Roshanko
dc.contributor.authorZhao, Binko
dc.contributor.authorLiu, Xinko
dc.contributor.authorRoyannez, Philippeko
dc.contributor.authorJe, Minkyuko
dc.date.accessioned2016-06-29T02:08:31Z-
dc.date.available2016-06-29T02:08:31Z-
dc.date.created2016-04-07-
dc.date.created2016-04-07-
dc.date.created2016-04-07-
dc.date.created2016-04-07-
dc.date.issued2015-01-
dc.identifier.citationIEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.62, no.1, pp.139 - 148-
dc.identifier.issn1549-8328-
dc.identifier.urihttp://hdl.handle.net/10203/208515-
dc.description.abstract"This paper presents a built-in self test (BIST) methodology, architecture and circuits for testing Through Silicon Vias (TSVs) in 3D-IC systems prior to stacking in order to improve 3D-IC yield and reduce overall test cost. A scan switch network (SSN) architecture is proposed to perform pre-bond TSV scan testing in test mode, and operate as functional circuit in functional mode, respectively. In the SSN, novel test structures and circuits are proposed to address pre-bond TSV test accessibility issue and perform stuck-at-fault tests and TSV tests. By exploiting the inherent RC delay characteristics of TSV, a novel delay-based TSV test method is also proposed to map the variation of TSV-to-substrate resistance due to TSV defects to a test path delay change. Compared with state-of-art methods, the proposed BIST methodology addresses pre-bond TSV testing with a low-overhead integrated test solution which is compatible to existing 2D-IC testing method. The proposed BIST architecture and method can be implemented by standard DFT design flow and integrated into a unified pre-bond TSV test flow. Experiment results and robustness analysis are presented to verify the effectiveness of the proposed self-test methodology, architecture, and circuits."-
dc.languageEnglish-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.titleBIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems-
dc.typeArticle-
dc.identifier.wosid000347706500015-
dc.identifier.scopusid2-s2.0-85027922476-
dc.type.rimsART-
dc.citation.volume62-
dc.citation.issue1-
dc.citation.beginningpage139-
dc.citation.endingpage148-
dc.citation.publicationnameIEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS-
dc.identifier.doi10.1109/TCSI.2014.2354752-
dc.contributor.localauthorJe, Minkyu-
dc.contributor.nonIdAuthorWang, Chao-
dc.contributor.nonIdAuthorZhou, Jun-
dc.contributor.nonIdAuthorWeerasekera, Roshan-
dc.contributor.nonIdAuthorZhao, Bin-
dc.contributor.nonIdAuthorLiu, Xin-
dc.contributor.nonIdAuthorRoyannez, Philippe-
dc.type.journalArticleArticle-
dc.subject.keywordAuthorBIST-
dc.subject.keywordAuthorDFT-
dc.subject.keywordAuthorpre-bond TSV testing-
dc.subject.keywordAuthorTSV-
dc.subject.keywordAuthor3D IC-
Appears in Collection
EE-Journal Papers(저널논문)
Files in This Item
There are no files associated with this item.
This item is cited by other documents in WoS
⊙ Detail Information in WoSⓡ Click to see webofscience_button
⊙ Cited 27 items in WoS Click to see citing articles in records_button

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0