Browse "School of Electrical Engineering(전기및전자공학부)" by Type Article

Showing results 361 to 420 of 14244

361
A 28-GHz CMOS Linear Power Amplifier With Low Output Phase Variation Over Dual Power Modes

Lee, Seungkyeong; Kang, Seunghoon; Hong, Songcheol, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.29, no.8, pp.551 - 553, 2019-08

362
A 28-GHz CMOS Power Amplifier Linearized by Dynamic Conductance Control and Body Carrier Injection

Lee, Wonho; Yoo, Jongho; Hong, Songcheol, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.31, no.9, pp.1071 - 1074, 2021-09

363
A 28-GHz Four-Channel Beamforming Front-End IC With Dual-Vector Variable Gain Phase Shifters for 64-Element Phased Array Antenna Module

Park, Jinseok; Lee, Seungchan; Chun, Jonghoon; Jeon, Laurence; Hong, Songcheol, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.4, pp.1142 - 1159, 2023-04

364
A 28-nm 10-b 2.2-GS/s 18.2-mW Relative-Prime Time-Interleaved Sub-Ranging SAR ADC With On-Chip Background Skew Calibration

Chang, Dong-Jin; Choi, Michael; Ryu, Seung-Tak, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.9, pp.2691 - 2700, 2021-09

365
A 280-/300-GHz Three-Stage Amplifiers in 65-nm CMOS With 12-/9-dB Gain and 1.6/1.4% PAE While Dissipating 17.9 mW

Park, Dae-Woong; Utomo, Dzuhri Radityo; Lam, Bao Huu; Hong, Jong-Phil; Lee, Sang-Gug, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.28, no.1, pp.79 - 81, 2018-01

366
A 29 dBm 70.7% PAE Injection-Locked CMOS Power Amplifier for PWM Digitized Polar Transmitter

Paek, Ji-Seon; Hong, Song-Cheol, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.20, no.11, pp.637 - 639, 2010-11

367
A 3-D Low Jitter and Skew Clock Distribution Network Scheme Using LTCC Package Level Interposer With a Planar Cavity Resonator

Lee, Woo-Jin; Kim, Jae-Min; Ryu, Chung-Hyun; Park, Jong-Bae; Kim, Jun-Chul; Kim, Joung-Ho, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.19, pp.512 - 514, 2009-08

368
A 3-D planar microlens for an effective monolithic optical interconnection system

Chang, SI; Yoon, Jun-Bo, IEEE PHOTONICS TECHNOLOGY LETTERS, v.18, no.5-8, pp.814 - 816, 2006-03

369
A 3-D propagation model considering building transmission loss for indoor wireless communications

Choi, MS; Park, HK; Heo, YH; Oh, SH; Myung, Noh-Hoon, ETRI JOURNAL, v.28, pp.247 - 249, 2006-04

370
A 3-D X-Band T/R Module Package With an Anodized Aluminum Multilayer Substrate for Phased Array Radar Applications

Yeo, Sung-Ku; Chun, Jong-Hoon; Kwon, Young Se, IEEE TRANSACTIONS ON ADVANCED PACKAGING, v.33, no.4, pp.883 - 891, 2010-11

371
A 3.1 to 5 GHz cmos rf transmitter for direct-sequence code division multiple access applications

Ku, Bon-Hyun; Park, Bonghyuk; Hong, Songcheol, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS, v.49, no.12, pp.3140 - 3145, 2007-12

372
A 3.1 to 5 GHz CMOS transceiver for DS-UWB systems

Park, Bonghyuk; Lee, Kyung Ai; Hong, Songcheol; Choi, Sangsung, ETRI JOURNAL, v.29, no.4, pp.421 - 429, 2007-08

373
A 3.2-GHz Supply Noise-Insensitive PLL Using a Gate-Voltage-Boosted Source-Follower Regulator and Residual Noise Cancellation

Jo, Youngwoo; Kim, Hyo Jun; Cho, Seonghwan, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.26, no.10, pp.2170 - 2174, 2018-10

374
A 3.68 aF(rms) Resolution Continuous-Time Bandpass Delta Sigma Capacitance-to-Digital Converter for Full-CMOS Sensors in 0.18 mu m CMOS

Park, Sujin; Chae, Hyungil; Cho, Seonghwan, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.6, pp.1657 - 1666, 2023-06

375
A 3.9 mW 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System

Yan, Long; Bae, Joon-Sung; Lee, Seul-Ki; Roh, Tae-Hwan; Song, Ki-Seok; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.46, no.1, pp.353 - 364, 2011-01

376
A 30-40 GHz CMOS Receiver Front-End with 5.9 dB NF and 16.5 dB Conversion Gain for Broadband Spectrum Sensing Applications

Jung, Hyunki; Utomo, Dzuhri Radityo; Shin, Saebyeok; Han, Seok-Kyun; Lee, Sang-Gug; Kim, Junsung, ELECTRONICS, v.8, no.5, 2019-05

377
A 32-bank 1 Gb self-strobing synchronous DRAM with 1 GByte/s bandwidth

Yoo, JH; Kim, CH; Lee, KC; Kyung, KH; Yoo, SM; Lee, JH; Son, MH; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.31, no.11, pp.1635 - 1644, 1996-11

378
A 32-KB standard CMOS antifuse one-time programmable ROM embedded in a 16-bit microcontroller

Cha, HK; Yun, I; Kim, J; So, BC; Chun, K; Nam, I; Lee, Kwyro, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.41, pp.2115 - 2124, 2006-09

379
A 320 mW 342 GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams

Oh, Jin-Wook; Kim, Gyeonghoon; Park, Jun-Young; Hong, Injoon; Lee, Seung-Jin; Kim, Joo-Young; Woo, Jeong-Ho; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.48, no.1, pp.33 - 45, 2013-01

380
A 320-fs RMS Jitter and-75-dBc Reference-Spur Ring-DCO-Based Digital PLL Using an Optimal-Threshold TDC

Seong, Taeho; Lee, Yongsun; Yoo, Seyeon; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.54, no.9, pp.2501 - 2512, 2019-09

381
A 345 mW Heterogeneous Many-Core Processor With an Intelligent Inference Engine for Robust Object Recognition

Lee, Seung-Jin; Oh, Jin-Wook; Park, Jun-Young; Kwon, Joon-Soo; Kim, Min-Su; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.46, no.1, pp.42 - 51, 2011-01

382
A 36 fps SXGA 3-D display processor embedding a programmable 3-D graphics rendering engine

Kim, SH; Yoon, JS; Yu, CH; Kim, D; Chung, K; Lim, HS; Lee, YG; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.43, pp.1247 - 1259, 2008-05

383
A 36-Channel Auto-Calibrated Front-End ASIC for a pMUT-Based Miniaturized 3-D Ultrasound System

Lee, Jihee; Lee, Kyoung-Rog; Eovino, Benjamin E.; Park, Jeong Hoan; Liang, Luna Yue; Lin, Liwei; Yoo, Hoi-Jun; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.6, pp.1910 - 1923, 2021-06

384
A 36.2 dB High SNR and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented BL and Reference Cell Array

Ha, Sangwoo; Kim, Sangjin; Han, Donghyeon; Um, Soyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2433 - 2437, 2022-05

385
A 37.5 uW Body Channel Communication Wake-Up Receiver With Injection-Locking Ring Oscillator for Wireless Body Area Network

Cho, Hyun-Woo; Bae, Joon-Sung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.60, no.5, pp.1200 - 1208, 2013-05

386
A 3D Imaging Framework Based on High-Resolution Photometric-Stereo and Low-Resolution Depth

Lu, Zheng; Tai, Yu-Wing; Deng, Fanbo; Ben-Ezra, Moshe; Brown, Michael S., INTERNATIONAL JOURNAL OF COMPUTER VISION, v.102, no.1-3, pp.18 - 32, 2013-03

387
A 4 x 10-Gb/s Referenceless-and-Masterless Phase Rotator-Based Parallel Transceiver in 90-nm CMOS

Lee, Joon Yeong; Yang, Jaehyeok; Yoon, Jong Hyeok; Kwon, Soon Won; Won, Hyosup; Han, Jinho; Bae, Hyeon-Min, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.24, no.6, pp.2310 - 2320, 2016-06

388
A 4(th)-Order Continuous-Time Delta-Sigma Modulator With Hybrid Noise-Coupling

Lozada, Kent Edrian; Jang, Il-Hoon; Bae, Gyeom-Je; Lee, Dong-Hun; Kim, Ye-Dam; Lee, Hankyu; Kim, Seong Joong; et al, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.9, pp.3635 - 3639, 2022-09

389
A 4-bit CMOS phase shifter using distributed active switches

Kang, Dong-Woo; Hong, Songcheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.55, no.7, pp.1476 - 1483, 2007-07

390
A 4-gb/s CMOS clock and data recovery circuit using 1/8-rate clock technique

Song, SJ; Park, SM; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.38, pp.1213 - 1219, 2003-07

391
A 4-to-42-V Input 3.3-V Output Self-Biased DC–DC Buck Converter Featuring Leakage-Emulated Bootstrap Voltage Refresher and Anti-Deadlock

Lee, Heejun; Han, Hyunki; Kim, Hyun-Sik, IEEE SOLID-STATE CIRCUITS LETTERS, v.6, pp.261 - 264, 2023-09

392
A 4-w master-slave switching amplitude modulator for Class-E1 EDGE polar transmitters

Lee, Min-Chul; Kwak, Tae-Woo; Choi, Bae-Kun; Cho, Gyu-Hyeong, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.55, pp.484 - 488, 2008-05

393
A 4.2-mW 10-MHz BW 74.4-dB SNDR Continuous-Time Delta-Sigma Modulator With SAR-Assisted Digital-Domain Noise Coupling

Jang, Il Hoon; Seo, Min-Jae; Cho, Sang-Hyun; Lee, Jae-Keun; Baek, Seung-Yeob; Kwon, Sunwoo; Choi, Michael; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.4, pp.1139 - 1148, 2018-04

394
A 4.78 mu s Dynamic Compensated Inductive Coupling Transceiver for Ubiquitous and Wearable Body Sensor Network

Lee, Seul-Ki; Yoo, Jerald; Yoo, Hoi-Jun, IEICE TRANSACTIONS ON COMMUNICATIONS, v.E93B, pp.2892 - 2900, 2010-11

395
A 4.9 m Omega-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System

Hong, Sun-Joo; Lee, Kwonjoon; Ha, Unsoo; Kim, Hyunki; Lee, Yongsu; Kim, Youchang; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.50, no.1, pp.245 - 257, 2015-01

396
A 40 mV Transformer-Reuse Self-Startup Boost Converter With MPPT Control for Thermoelectric Energy Harvesting

Im, Jong-Pil; Wang, Se-Won; Ryu, Seung-Tak; Cho, Gyu-Hyeong, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.47, no.12, pp.3055 - 3067, 2012-12

397
A 40-GHz hybrid class-AB/class-B CMOS VCO with a current-combining transformer

Oh, Juntaek; Jang, Jingyu; Hong, Songcheol, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS, v.60, no.6, pp.1319 - 1323, 2018-06

398
A 40-nm CMOS 12b 120-MS/s Nonbinary SAR-Assisted SAR ADC With Double Clock-Rate Coarse Decision

Roh, Yi-Ju; Chang, Dong-Jin; Ryu, Seung-Tak, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.67, no.12, pp.2833 - 2837, 2020-12

399
A 400-nW 19.5-fJ/Conversion-Step 8-ENOB 80-kS/s SAR ADC in 0.18-um CMOS

Cheong, Jia Hao; Chan, Kok Lim; Khannur, Pradeep Basappa; Tiew, Kei Tee; Je, Minkyu, IEEE Transactions on Circuits and Systems II: Express Briefs, v.58, no.7, pp.407 - 411, 2011-07

400
A 40fJ/c-s 1 V 10 bit SARADC with Dual Sampling Capacitive DAC Topology

Kim, Bin-Hee; Yan, Long; Yoo, Jerald; Yoo, Hoi-Jun, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.11, pp.23 - 32, 2011-03

401
A 43 nW, 32 kHz, +/- 4.2 ppm Piecewise Linear Temperature-Compensated Crystal Oscillator With Delta sigma-Modulated Load Capacitance

Park, Sujin; Seol, Ji-Hwan; Xu, Li; Cho, Seonghwan; Sylvester, Dennis; Blaauw, David, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.4, pp.1175 - 1186, 2022-04

402
A 43.1TOPS/W Energy-Efficient Absolute-Difference-Accumulation Operation Computing-In-Memory With Computation Reuse

Um, Soyeon; Kim, Sangyeob; Kim, Sangjin; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.68, no.5, pp.1605 - 1609, 2021-05

403
A 43.3-mu W Biopotential Amplifier With Tolerance to Common-Mode Interference of 18 V-pp and T-CMRR of 105 dB in 180-nm CMOS

Koo, Nahmil; Kim, Hyojun; Cho, Seonghwan, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.58, no.2, pp.508 - 519, 2023-02

404
A 45 mu W Injection-Locked FSK Wake-Up Receiver With Frequency-to-Envelope Conversion for Crystal-Less Wireless Body Area Network

Bae, Joonsung; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.50, no.6, pp.1351 - 1360, 2015-06

405
A 45-dB, 150-Hz, and 18-mW Touch Controller for On-Cell Capacitive TSP Systems

Jang, Yeongshin; Ko, Younghun; Choi, Jung-Min; Oh, Hyoung Seok; Lee, Sang-Gug, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.61, no.10, pp.748 - 752, 2014-10

406
A 45-mu W, 162.1-dBc/Hz FoM, 490-MHz Two-Stage Differential Ring VCO Without a Cross-Coupled Latch

Jung, Ohyong; Seok, HyunGi; Dissanayake, Anjana; Lee, Sang-Gug, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.65, no.11, pp.1579 - 1583, 2018-11

407
A 457 nW Near-Threshold Cognitive Multi-Functional ECG Processor for Long-Term Cardiac Monitoring

Liu, Xin; Zhou, Jun; Yang, Yongkui; Wang, Bo; Lan, Jingjing; Wang, Chao; Luo, Jianwen; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.49, no.11, pp.2422 - 2434, 2014-11

408
A 46-nF/10-M omega Range 114-aF/0.37-omega Resolution Parasitic- and Temperature-Insensitive Reconfigurable RC-to-Digital Converter in 0.18-mu m CMOS

George, Arup K.; Shim, Wooyoon; Kung, Jaeha; Kim, Ji-Hoon; Je, Minkyu; Lee, Junghyup, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.3, pp.1171 - 1184, 2022-03

409
A 470-mu W 5-GHz Digitally Controlled Injection-Locked Multi-Modulus Frequency Divider With an In-Phase Dual-Input Injection Scheme

Lee, Joonhee; Park, Sunghyun; Cho, SeongHwan, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.19, no.1, pp.61 - 70, 2011-01

410
A 48 mu W, 8.88 mW/W Batteryless Wirelessly Power Transferred Body Channel Communication Identification System

Lee, Jihee; Lee, Yongsu; Cho, Hyunwoo; Yoo, Hoi-Jun, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.17, no.6, pp.927 - 934, 2017-12

411
A 4800 bps LPC Vocoder with Improved Excitation

Un, Chong-Kwan; W.Y.Sung, 한국음향학회지, v.1, no.1, pp.54 - 59, 1982-03

412
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

Song, Seokchan; Kim, Soyeon; Park, Gwangtae; Han, Donghyeon; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.69, no.5, pp.2443 - 2447, 2022-05

413
A 5 Gbps 1.6 mW/G bps/CH Adaptive Crosstalk Cancellation Scheme With Reference-less Digital Calibration and Switched Termination Resistors for Single-Ended Parallel Interface

Hwang, Kyu-Dong; Kim, Lee-Sup, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.61, no.10, pp.3016 - 3024, 2014-10

414
A 5-BIT CMOS PHASE SHIFTER WITH LOW PHASE AND AMPLITUDE ERROR USING A NEW 1800 PHASE SHIFTER FEATURING PROCESS-VARIATION IMMUNITY

Kang, Dong-Woo; Hong, Songcheol, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS, v.52, no.6, pp.1325 - 1328, 2010-06

415
A 5-Gb/s 2.67-mW/Gb/s Digital Clock and Data Recovery With Hybrid Dithering Using a Time-Dithered Delta-Sigma Modulator

Lee, Taeho; Kim, Yonghun; Sim, Jaehyeong; Park, Jun-Seok; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.24, no.4, pp.1450 - 1459, 2016-04

416
A 5-Gb/s Digital Clock and Data Recovery Circuit With Reduced DCO Supply Noise Sensitivity Utilizing Coupling Network

Lee, Taeho; Kim, Yong Hun; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.25, no.1, pp.380 - 384, 2017-01

417
A 5-Gb/s Digital Clock and Data Recovery Circuit With Reduced DCO Supply Noise Sensitivity Utilizing Coupling Network

Lee, Taeho; Kim, Yong Hun; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.25, no.1, pp.380 - 384, 2017-01

418
A 5-Gb/s/pin Transceiver for DDR Memory Interface With a Crosstalk Suppression Scheme

Oh, Kwang-Il; Kim, Lee-Sup; Park, Kwang-Il; Jun, Young-Hyun; Choi, Joo Sun; Kim, Kinam, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.44, pp.2222 - 2232, 2009-08

419
A 5-GHz WLAN RF CMOS Power Amplifier With a Parallel-Cascoded Configuration and an Active Feedback Linearizer

Kang, Seung Hoon; Baek, Donghyun; Hong, Songcheol, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.65, no.9, pp.3230 - 3244, 2017-09

420
A 5-V Dynamic Class-C Paralleled Single-Stage Amplifier With Near-Zero Dead-Zone Control and Current-Redistributive Rail-to-Rail Gm-Boosting Technique

Koh, Seok Tae; Lee, Jihun; Gang, Gyeong-Gu; HAN, HYUNKI; Kim, Hyun-Sik, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.12, pp.3593 - 3607, 2021-12

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0