Browse "School of Electrical Engineering(전기및전자공학부)" by Type Article

Showing results 1081 to 1140 of 14228

1081
A Low-Complexity, Low-Cycle-Slip-Probability, Format-Independent Carrier Estimator with Adaptive Filter Length

Meiyappan, Adaickalavan; Kim, Hoon; Kam, Pooi-Yuen, JOURNAL OF LIGHTWAVE TECHNOLOGY, v.31, no.23, pp.3806 - 3812, 2013-12

1082
A low-cost high-efficiency CCFL inverter with new capacitive sensing and control

Kim, SK; Han, HS; Woo, YJ; Cho, Gyu-Hyeong, IEEE TRANSACTIONS ON POWER ELECTRONICS, v.21, pp.1444 - 1451, 2006-09

1083
A low-cost orbit determination method for mobile communication satellites

Cho, S; Bae, JH; Chun, Joohwan, TRANSACTIONS OF THE JAPAN SOCIETY FOR AERONAUTICAL AND SPACE SCIENCES, v.46, no.157, pp.271 - 274, 2004-02

1084
A low-cost WDM source with an ASE injected Fabry-Perot semiconductor laser

Kim, HD; Kang, SG; Lee, Chang-Hee, IEEE PHOTONICS TECHNOLOGY LETTERS, v.12, no.8, pp.1067 - 1069, 2000-08

1085
A low-density generator matrix interpretation of parallel concatenated single bit parity codes

Oenning, TR; Moon, Jaekyun, IEEE TRANSACTIONS ON MAGNETICS, v.37, no.2, pp.737 - 741, 2001-03

1086
A Low-Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless Body-Area Network

Bae, Joon-Sung; Song, Ki-Seok; Lee, Hyung-Woo; Cho, Hyun-Woo; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.47, no.11, pp.2678 - 2692, 2012-11

1087
A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications

Lee, Seul-Ki; Song, Ki-Seok; Yoo, Jerald; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.45, pp.2366 - 2374, 2010-11

1088
A Low-Integrated-Phase-Noise 27-30-GHz Injection-Locked Frequency Multiplier With an Ultra-Low-Power Frequency-Tracking Loop for mm-Wave-Band 5G Transceivers

Yoo, Seyeon; Choi, Seojin; Kim, Juyeop; Yoon, Heein; Lee, Yongsun; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.2, pp.375 - 388, 2018-02

1089
A Low-Jitter and Fractional-Resolution Injection-Locked Clock Multiplier Using a DLL-Based Real-Time PVT Calibrator With Replica-Delay Cells

Kim, Mina; Choi, Seojin; Seong, Taeho; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.51, no.2, pp.401 - 411, 2016-02

1090
A Low-Jitter and Low-Fractional-Spur Ring-DCO-Based Fractional-N Digital PLL Using a DTC's Second-/Third-Order Nonlinearity Cancellation and a Probability-Density-Shaping Delta sigma M

Hwang, Chanwoong; Park, Hangi; Lee, Yongsun; Seong, Taeho; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.9, pp.2841 - 2855, 2022-09

1091
A Low-Jitter and Low-Reference-Spur Ring-VCO- Based Injection-Locked Clock Multiplier Using a Triple-Point Background Calibrator

Yoo, Seyeon; Choi, Seojin; Lee, Yongsun; Seong, Taeho; Lim, Younghyun; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.56, no.1, pp.298 - 309, 2021-01

1092
A Low-Jitter and Low-Reference-Spur Ring-VCO-Based Switched-Loop Filter PLL Using a Fast Phase-Error Correction Technique

Lee, Yongsun; Seong, Taeho; Yoo, Seyeon; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.4, pp.1192 - 1202, 2018-04

1093
A Low-Jitter Area-Efficient LC-VCO Based Clock Generator in 0.13-mu m CMOS

Lee, Joonhee; Kim, Sungjun; Jeon, Sehyung; Lee, Woojae; Cho, S; Kim, S; Lee, W; et al, IEICE TRANSACTIONS ON ELECTRONICS, v.E92C, pp.589 - 591, 2009-04

1094
A low-jitter delay-locked loop with harmonic-lock prevention

Park, Sin Chong; Yoo, C; Park, SC, IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, v.E85A, no.2, pp.505 - 507, 2002-02

1095
A Low-Jitter Injection-Locked Multi-Frequency Generator Using Digitally Controlled Oscillators and Time-Interleaved Calibration

Yoon, Heein; Park, Suneui; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.54, no.6, pp.1564 - 1574, 2019-06

1096
A Low-jitter Ring-DCO-Based Digital PLL Using P/I-Gain Co-Optimization and Optimally Spaced TDC for Flicker-Noise Reduction

Hwang, Chan woong; Park, Hangi; Lee, Yongsun; Seong, Taeho; Choi, Jaehyouk, IDEC Journal of Integrated Circuits and Systems, v.9, no.4, pp.37 - 43, 2023-10

1097
A Low-Jitter Ring-DCO-Based Fractional-N Digital PLL With a 1/8 DTC-Range-Reduction Technique Using a Quadruple-Timing-Margin Phase Selector

Park, Hangi; Hwang, Chanwoong; Seong, Taeho; Choi, Jaehyouk, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.57, no.12, pp.3527 - 3537, 2022-12

1098
A Low-Latency Multi-Touch Detector Based on Concurrent Processing of Redesigned Overlap Split and Connected Component Analysis

Kong, Byeong Yong; Lee, Jooseung; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.67, no.1, pp.166 - 176, 2020-01

1099
A Low-Loss Compact 60-GHz Phase Shifter in 65-nm CMOS

Byeon, Chul Woo; Park, Chul Soon, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.27, no.7, pp.663 - 665, 2017-07

1100
A Low-Noise Amplifier With Tunable Interference Rejection for 3.1-to 10.6-GHz UWB Systems

Park, Bonghyuk; Choi, Sangsung; Hong, Songcheol, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.20, no.1, pp.40 - 42, 2010-01

1101
A Low-Noise and Fast-Settling UHF RFID Receiver With Digitally Controlled Leakage Cancellation

Kim, Subin; Choi, Kyung-Sik; Kim, Keun-Mok; Ko, Jinho; Kim, Jusung; Lee, Sang-Gug, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.68, no.8, pp.2810 - 2814, 2021-08

1102
A Low-Noise and Low-Power Frequency Synthesizer Using Offset Phase-Locked Loop in 0.13-mu m CMOS

Park, Pyoung-Won; Park, Dong-Min; Cho, Seong-Hwan, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.20, pp.52 - 54, 2010-01

1103
A Low-Noise Broadband Light Source for a WDM-PON Based on Mutually Injected Fabry-Perot Laser Diodes With RF Modulation

Choi, KM; Lee, Chang-Hee, IEEE PHOTONICS TECHNOLOGY LETTERS, v.20, no.24, pp.2072 - 2074, 2008-11

1104
A low-noise folded bit-line sensing architecture for multigigabit DRAM with ultrahigh-density 6F(2) cell

Kim, JS; Choi, YS; Yoo, Hoi-Jun; Seo, KS, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.33, no.7, pp.1096 - 1102, 1998-07

1105
A Low-Noise Four-Stage Voltage-Controlled Ring Oscillator in Deep-Submicrometer CMOS Technology

Kim, Joo-Myoung; Kim, Seungjin; Lee, In-Young; Han, Seok Kyun; Lee, Sang-Gug, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.60, no.2, pp.71 - 75, 2013-02

1106
A low-noise, high-gain single-ended input double-balanced mixer

Choi, JY; Lee, Sang-Gug, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, v.36, pp.263 - 266, 2003-09

1107
A Low-Parasitic and Common-Centroid Cross-Coupled CMOS Transistor Structure for High-Frequency VCO Design

Lee, In-Young; Yun, Seok-Ju; Oh, Seung-Min; Lee, SG; Yun, SJ; Lee, Sang-Gug, IEEE ELECTRON DEVICE LETTERS, v.30, pp.532 - 534, 2009-05

1108
A low-phase noise LC-QVCO in CMOS technology

Choi, HC; Shin, SB; Lee, Sang-Gug, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.14, pp.540 - 542, 2004-11

1109
A low-power 2.4-GHz current-reused receiver front-end and frequency source for wireless sensor network

Song, Taeksang; Oh, Hyoung-Seok; Yoon, Euisik; Hong, Songcheol, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.42, no.5, pp.1012 - 1022, 2007-05

1110
A low-power 3-D rendering engine with two texture units and 29-Mb embedded DRAM for 3G multimedia terminals

Woo, RC; Choi, SD; Sohn, JH; Song, SJ; Bae, YD; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.39, pp.1101 - 1109, 2004-07

1111
A Low-Power 40-Gb/s 1:2 Demultiplexer IC Based on a Resonant Tunneling Diode

Lee, Joo-Seok; Lee, Jong-Won; Yang, Kyoung-Hoon, IEEE TRANSACTIONS ON NANOTECHNOLOGY, v.11, no.3, pp.431 - 434, 2012-05

1112
A low-power array multiplier using separated multiplication technique

Han, CY; Park, HJ; Kim, Lee-Sup, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, v.48, no.9, pp.866 - 871, 2001-09

1113
A low-power CAM using pulsed NAND-NOR match-line and charge-recycling search-line driver

Yang, BD; Kim, Lee-Sup, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.40, pp.1736 - 1744, 2005-08

1114
A low-power charge-recycling ROM architecture

Yang, BD; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.11, pp.590 - 600, 2003-08

1115
A low-power CMOS direct conversion receiver with 3-dB NF and 30-kHz flicker-noise corner for 915-MHz band IEEE 802.15.4 ZigBee standard

Nguyen, Trung-Kien; Oh, Nam-Jin; Le, Viet-Hoang; Lee, Sang-Gug, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.54, no.2, pp.735 - 741, 2006-02

1116
A low-power CMOS direct conversion receiver with 3-dB NF and 30-kHz flicker-noise corner for 915-MHz band IEEE 802.15.4 ZigBee standard (vol 54, pg 735, 2006)

Nguyen, TK; Oh, Nam-Jin; Le, VH; Lee, Sang-Gug, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.55, no.6, pp.1256 - 1256, 2007-06

1117
A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector

Bong, Kyeongryeol; Choi, Sungpill; Kim, Changhyeon; Han, Donghyeon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.53, no.1, pp.115 - 123, 2018-01

1118
A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application

Han, Donghyeon; Lee, Jinsu; Lee, Jinmook; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.66, no.5, pp.1794 - 1804, 2019-05

1119
A Low-Power Fractional-Order Synchronizer for Syncless Time-Sequential Synchronization of 3-D TV Active Shutter Glasses

Park, Daejin; Kim, Chang Min; Kwak, Sungho; Kim, Tag-Gon, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, v.23, no.2, pp.364 - 369, 2013-02

1120
A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices

Kim, Sangjin; Kim, Sangyeob; Lee, Juhyoung; Yoo, Hoi-Jun, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.69, no.4, pp.1507 - 1518, 2022-04

1121
A low-power highly linear cascoded multiple-gated transistor CMOS RF amplifier with 10 dB IP3 improvement (vol 13, pg 205, 2003)

Nam, I; Ko, B; Lee, Kwyro; Kim, TW; Kim, B, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.13, pp.420 - 422, 2003-09

1122
A Low-Power Interference-Tolerance Wideband Receiver for 802.11af/ah Long-Range Wi-Fi With Post-LNA Active N-Path Filter

Nguyen, Hoai Nam; Kim, Ki-Su; Han, Seon-Ho; Lee, Ja-Yol; Kim, CheonSoo; Lee, Sang-Gug, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.66, no.5, pp.2287 - 2298, 2018-05

1123
A low-power monolithic GaAs FET bandpass filter based on negative resistance technique

Cho, YH; Hong, Songcheol; Kwon, YS, IEEE MICROWAVE AND GUIDED WAVE LETTERS, v.8, no.4, pp.161 - 163, 1998-04

1124
A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices

Woo, Jeong-Ho; Kim, Hyejung; Yoo, Hoi-Jun; Sohn, JH, IEEE COMPUTER GRAPHICS AND APPLICATIONS, v.29, pp.82 - 90, 2009-09

1125
A Low-Power Photoplethysmogram-Based Heart Rate Sensor Using Heartbeat Locked Loop

Lee, Jinseok; Jang, Do-Hun; Park, Sujin; Cho, SeongHwan, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, v.12, no.6, pp.1220 - 1229, 2018-12

1126
A Low-Power Portable ECG Touch Sensor with Two Dry Metal Contact Electrodes

Yan, Long; Yoo, Hoi-Jun, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.10, no.4, pp.300 - 308, 2010-12

1127
A low-power RF direct-conversion receiver/transmitter for 2.4-GHZ band IEEE 802.15.4 standard in 0.18-mu m CMOS technology

Nguyen, TK; Krizhanovskii, V; Lee, J; Han, SK; Lee, Sang-Gug; Kim, NS; Pyo, CS, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, v.54, pp.4062 - 4071, 2006-12

1128
A low-power ROM using charge recycling and charge sharing techniques

Yang, BD; Kim, Lee-Sup, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.38, pp.641 - 653, 2003-04

1129
A low-power ROM using single charge-sharing capacitor and hierarchical bit line

Yang, BD; Kim, Lee-Sup, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v.14, pp.313 - 322, 2006-04

1130
A low-power SRAM using hierarchical bit line and local sense amplifiers

Yang, BD; Kim, Lee-Sup, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.40, pp.1366 - 1376, 2005-06

1131
A Low-Power TDC-Configured Logarithmic Resistance Sensor for MLC PCM Readout

Kwon, Ji-Wook; Jin, Dong-Hwan; Kim, Hyeon-June; Hwang, Sun-Il; Shin, Min-Chul; Cheon, Jun-Ho; Ryu, Seung-Tak, IEEE SENSORS JOURNAL, v.16, no.14, pp.5524 - 5535, 2016-07

1132
A Low-Power Time-Synchronization Processor With Symmetric Even/Odd Timer for Charge-Shared LCD Driving of 3DTV Active Shutter Glasses

Park, Daejin; Kim, Tag-Gon; Cho, Jeonghun, JOURNAL OF DISPLAY TECHNOLOGY, v.10, no.12, pp.1047 - 1054, 2014-12

1133
A low-power unified arithmetic unit for programmable handheld 3-D graphics systems

Nam, BG; Kim, HJ; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.42, pp.1767 - 1778, 2007-08

1134
A low-power variable length decoder for MPEG-2 based on successive decoding of short codewords

Lee, SW; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-ANALOG AND DIGITAL SIGNAL PROCESSING, v.50, no.2, pp.73 - 82, 2003-02

1135
A Low-Power Variable-Gain Amplifier With Improved Linearity: Analysis and Design

Chen, Zhiming; Zheng, Yuanjin; Choong, Foo Chung; Je, Minkyu, IEEE Transactions on Circuits and Systems I: Regular Papers, v.59, no.10, pp.2176 - 2185, 2012-10

1136
A low-power, high-suppression V-band frequency doubler in 0.13 mu m CMOS

Jung, DY; Park, Chul Soon, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, v.18, pp.551 - 553, 2008-08

1137
A Low-power, Mixed-mode Neural Network Classifier for Robust Scene Classification

Lee, Kyuho; Park, Junyoung; Yoo, Hoi-Jun, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, v.19, no.1, pp.129 - 136, 2019-02

1138
A low-profile three-dimensional neural probe array using a silicon lead transfer structure

Cheng, Ming-Yuan; Je, Minkyu; Tan, Kwan Ling; Tan, Ee Lim; Lim, Ruiqi; Yao, Lei; Li, Peng; et al, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, v.23, no.9, 2013-09

1139
A Low-Rate Digital Formant Vocoder

Un, Chong-Kwan, IEEE TRANSACTIONS ON COMMUNICATIONS, v.26, no.3, pp.344 - 355, 1978-03

1140
A Low-Reference Spur MDLL-Based Clock Multiplier and Derivation of Discrete-Time Noise Transfer Function for Phase Noise Analysis

Tak, Geum-Young; Lee, Kwyro, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.65, no.2, pp.485 - 497, 2018-02

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0