Browse "School of Electrical Engineering(전기및전자공학부)" by Author 1131

Showing results 1 to 60 of 144

1
3차원 화상 디스플레이 제어기

유회준; 이정우; 곽창민; 이종철, 대한전자공학회 97 CAD 및 VLSI 설계 연구회 학술발표회, 대한전자공학회, 1997

2
670 ps, 64 bit dynamic low-power adder design

Woo, Ramchan; Lee, Se-Joong; Yoo, Hoi-Jun, Proceedings of the IEEE 2000 Internaitonal Symposium on Circuits and Systems, v.1, 2000-05-28

3
7.1GB/sec Bandwidth 3D Rendering Engine Using the EML Technology

Yoo, Hoi-Jun; Park, Yong-Ha; Woo, Ramchan; Han, Seon-Ho; Kim, Jung-Su; Lee, Se-Joong; Kook, Jeong-Hoon; et al, International Conference on VLSI and CAD, pp.277 - 280, 1999

4
80/20MHz 160mW Multimedia Processor integrated withEmbedded DRAM MPEG-4 Accelerator 3D Rendering Engine for Mobile Applications

Yoo, Hoi-Jun; Yoon, C.W.; Wooo, R.; Kook, J.; Lee, S.J.; Lee, K.; Bae, Y.D.; et al, 2001 International Solid State Circuit Conference, pp.142 - 143, 2001

5
92mW 76.8GOPS Vector Matching Processor with Parallel Huffman Decoder and Query Re-ordering Buffer for Real-time Object Recognition

Yoo, Hoi-Jun; Lee, SJ; Kwon, JS; Oh, JW; Park, JY, A-SSCC 2010, A-SSCC 2010, 2010

6
A 0.5uVrms 12uW patch type fabric sensor for wearable body sensor network

Yan, L.; Yoo, J.; Kim, B.; Yoo, Hoi-Jun, 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009, pp.105 - 108, 2009-11-16

7
A 0.7fJ/bit/search, 2.2ns search time hybrid type TCAM architecture

Choi, S.; Sohn, K.; Lee, M.-W.; Kim, S.; Choi, H.-M.; Kim, D.; Cho, U.-R.; et al, Digest of Technical Papers - 2004 IEEE International Solid-State Circuits Conference, v.47, pp.498 -, 2003-02-15

8
A 0.9-v 67-uw analog front-end using adaptive-SNR technique for digital hearing aid

Kim, S.; Lee, J.-Y.; Song, S.-J.; Cho, N.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.740 - 743, 2005-05-23

9
A 0.9-V 96-uW Digital Hearing Aid Chip with Heterogeneous sigma-delta DAC

Yoo, Hoi-Jun; Kim, Sunyoung; Cho, Namjun; Song, Seong-Jun; Kim, Donghyun; Kim, Kwanho, IEEE Symposium On VLSI Circuits (SOVC) 2006, pp.68 - 69, 2006

10
A 0.9V 2.6mW body-coupled scalable PHY transceiver for body sensor applications

Song, S.-J.; Cho, N.; Kim, S.; Yoo, J.; Choi, S.; Yoo, Hoi-Jun, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.366 - 367, 2007-02-11

11
A 1.12mW continuous healthcare monitor chip integrated on a planar fashionable circuit board

Kim, H.; Kim, Y.; Kwon, Young Se; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.150 - 151, 2008-02-03

12
A 1.12pJ/b resonance compensated inductive transceiver with a fault-tolerant network controller for wearable body sensor networks

Yoo, J.; Lee, S.; Yoo, Hoi-Jun, 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, pp.313 - 316, 2008-11-03

13
A 1.2Mpixels/s/mW 3-D rendering processor for portable multimedia application

Woo, J.-H.; Lee, M.-W.; Kim, H.; Sohn, J.-H.; Yoo, Hoi-Jun, IEEE Asian Solid-State Circuits Conference, ASSCC 2005, pp.297 - 300, 2005-11-01

14
A 1.3pJ/b inductive coupling transceiver with adaptive gain control for Cm-range 50Mbps data communication

Lee, S.; Yoo, J.; Song, K.; Yoo, Hoi-Jun, 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009, pp.297 - 300, 2009-11-16

15
A 1.55ns 0.015 mm2 64-bit quad number comparator

Kim, M.; Kim, J.-Y.; Yoo, Hoi-Jun, 2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09, pp.283 - 286, 2009-04-28

16
A 10-uW digital signal processor with adaptive-SNR monitoring for a sub-1V digital hearing aid

Yoo, J.; Kim, S.; Cho, N.; Song, S.-J.; Yoo, Hoi-Jun, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, pp.3361 - 3364, 2006-05-21

17
A 10.8mW body-channel-communication/MICS dual-band transceiver for a unified body-sensor-network controller

Cho, N.; Bae, J.; Kim, S.; Yoo, Hoi-Jun, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, 2009-02-08

18
A 10Gbps/port 8x8 Shared Bus Switch with embedded DRAM Hierarchical Output Buffer

Yoo, Hoi-Jun, IEEE ESSCIRC 2003, pp.461 - 464, 2003-09

19
A 150MHz 8-Banks 256M Synchronous DRAM with the Wave Pipelining Method

Yoo, Hoi-Jun, 95 IEEE Int. Solid State Circuit Conf., 1995

20
A 152mW/195mW Multimedia Processor with Fully Programmable 3D Graphics and MPEG/H.264/JPEG for Handheld Devices

Yoo, Hoi-Jun; Woo, Jeong-Ho; Sohn, Ju-Ho; Kim, Hyejung; Jeong, Jongcheol; Jeong, Euljoo; Lee, Suk Joong, Design Automation Conference(DAC), 2007

21
A 17mW, 20Mpixels/s 3-D Rendering Processor For Portable Multimedia Application

유회준, ISOCC 2005, pp.612 - 613, 2005-10

22
A 1Mb/s, -75dBm sensitive fully integrated body channel transceiver for a low energy compact wearable healthcare sensor

Yan, L.; Bae, J.; Yoo, Hoi-Jun, 2010 6th IEEE Asian Solid-State Circuits Conference, A-SSCC 2010, pp.233 - 236, IEEE, 2010-11-08

23
A 2.14mW EEG Neuro-feedback Processor with Transcranial Electrical Stimulation for Mental Health Management

Roh, Taehwan; Song, Kiseok; Cho, Hyunwoo; Shin, Dongjoo; Ha, Unsoo; Lee, Kwonjoon; Yoo, Hoi-Jun, 2014 IEEE International Solid-State Circuits Conference, IEEE, 2014-02-11

24
A 210MHz 15mW unified vector and transcendental function unit for handheld 3-D graphics systems

Nam, B.-G.; Kim, H.; Yoo, Hoi-Jun, 2006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006, pp.95 - 98, 2006-11-13

25
A 210mW graphics LSI implementing full 3D pipeline with 264Mtexels/s texturing for mobile multimedia applications

Woo, R.; Cho,i S.; Sohn, J.-H.; Song, S.-J.; Bae, Y.-D.; Yoon, C.-W.; Nam, B.-G.; et al, 2003 Digest of Technical Papers, 2003-02-09

26
A 231 MHz, 2.18mW 32-bit logarithmic arithmetic unit for fixed-point 3D graphics system

Kim, H.; Nam, B.-G.; Sohn, J.-H.; Yoo, Hoi-Jun, 1st IEEE Asian Solid-State Circuits Conference, ASSCC 2005, pp.305 - 308, 2005-11-01

27
A 24.2-uW dual-mode human body communication controller for body sensor network

Choi, S.; Song, S.-J.; Sohn, K.; Kim, H.; Kim, J.; Cho, N.; Woo, J.-H.; et al, ESSCIRC 2006 - 32nd European Solid-State Circuits Conference, pp.227 - 230, 2006-09-19

28
A 28.5mW 2.8GFLOPS floating-point multifunction unit for handheld 3D graphics processors

Nam, B.-G.; Yoo, Hoi-Jun, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, pp.376 - 379, 2007-11-12

29
A 2Mb/s wideband pulse transceiver with direct-coupled interface for human body communications

Song, S.-J.; Cho, N.; Kim, S.; Yoo, J.; Yoo, Hoi-Jun, 2006 IEEE International Solid-State Circuits Conference, ISSCC, pp.558 - 559, 2006-02-06

30
A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder

Yan, L.; Bae, J.; Lee, S.; Kim, B.; Roh, T.; Song, K.; Yoo, Hoi-Jun, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010, pp.490 - 491, IEEE, 2010-02-07

31
A 330MHz low-jitter and fast-locking direct skew compensation DLL

Lee, J.-H.; Han, S.-H.; Yoo, Hoi-Jun, 2000 IEEE International Solid-State Circuits Conference 47th Annual ISSCC, pp.352 - 353, IEEE, 2000-02-07

32
A 345mW heterogeneous many-core processor with an intelligent inference engine for robust object recognition

Lee, S.; Oh, J.; Kim, M.; Park, J.; Kwon, J.; Yoo, Hoi-Jun, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010, pp.332 - 333, IEEE, 2010-02-07

33
A 372ps 64-bit adder using fast pull-up logic in 0.18-um CMOS

Kim, J.; Lee, K.; Yoo, Hoi-Jun, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, pp.13 - 16, 2006-05-21

34
A 490uW fully MICS compatible FSK transceiver for implantable devices

Bae, J.; Cho, N.; Yoo, Hoi-Jun, 2009 Symposium on VLSI Circuits, pp.36 - 37, 2009-06-16

35
A 4Gb/s Clock and Data Recovery Circuit Using Four Phase 1/8 Rate Clock

Yoo, Hoi-Jun; Song, Seong-Jun; Lee, Jaeseo; Park, Sung Min, European Solid State Circuit Conference, pp.239 - 242, 2002

36
A 5.1-μW UHF RFID tag chip integrated with sensors for wireless environmental monitoring

Cho, N.; Song, S.-J.; Kim, S.; Kim, S.; Yoo, Hoi-Jun, 31st European Solid-State Circuits Conference, pp.279 - 282, 2005-09-12

37
A 5.2mW self-configured wearable body sensor network controller and a 12μW 54.9% efficiency wirelessly powered sensor for continuous health monitoring system

Yoo, J.; Yan, L.; Lee, S.; Kim, Y.; Kim, H.; Kim, B.; Yoo, Hoi-Jun, 2009 IEEE International Solid-State Circuits Conference ISSCC 2009, pp.290 - 292, 2009-02-08

38
A 52.4mW 3D graphics processor with 141Mvertices/s vertex shader and 3 power domains of dynamic voltage and frequency scaling

Nam, B.-G.; Lee, J.; Kim, K.; Lee, S.J.; Yoo, Hoi-Jun, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.278 - 279, 2007-02-11

39
A 54GOPS 51.8mW analog-digital mixed mode Neural Perception Engine for fast object detection

Kim, M.; Kim, J.-Y.; Lee, S.; Oh, J.; Yoo, Hoi-Jun, 2009 IEEE Custom Integrated Circuits Conference, CICC '09, pp.649 - 652, 2009-09-13

40
A 57mW embedded mixed-mode neuro-fuzzy accelerator for intelligent multi-core processor

Oh, J.; Park, J.; Kim, G.; Lee, S.; Yoo, Hoi-Jun, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.130 - 131, IEEE, 2011-02-20

41
A 60kb/s-to-10Mb/s 0.37nJ/b adaptive-frequency-hopping transceiver for body-area network

Cho, N.; Lee, J.; Yan, L.; Bae, J.; Kim, S.; Yoo, Hoi-Jun, 2008 IEEE International Solid State Circuits Conference, ISSCC, pp.132 - 133, 2008-02-03

42
A 7.1GB/s low-power 3D rendering engine in 2D array-embedded memory logic CMOS

Park, Y.-H.; Han, S.-H.; Kim, J.-S.; Lee, S.-J.; Kook, J.-H.; Lim, J.-W.; Woo, R.; et al, 2000 IEEE International Solid-State Circuits Conference 47th Annual ISSCC, pp.242 - 243, 2000-02-07

43
A 75uW real-time scalable network controller and a 25uW ExG sensor IC for compact sleep-monitoring applications

Lee, S.; Yan, L.; Roh, T.; Hong, S.; Yoo, Hoi-Jun, 2011 IEEE International Solid-State Circuits Conference, ISSCC 2011, pp.36 - 37, IEEE, 2011-02-20

44
A 8uW, 0.3-mm2 RF-powered transponder with temperature sensor for wireless environmental monitoring

Kim, S.; Cho, N.; Song, S.-J.; Lee, J.-Y.; Kim, S.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.4763 - 4766, 2005-05-23

45
A 92mW 76.8GOPS vector matching processor with parallel huffman decoder and query re-ordering buffer for real-time object recognition

Lee, S.; Kwon, J.; Oh, J.; Park, J.; Yoo, Hoi-Jun, 2010 6th IEEE Asian Solid-State Circuits Conference, A-SSCC 2010, pp.305 - 308, IEEE, 2010-11-08

46
A Compact Ring Delay Line for Low Power High Speed Synchronous DRAM

Yoo, Hoi-Jun, 98 Synmposium of VLSI Circuits, 1998

47
A Comparative Analysis of a DDR-SDRAM and a D-RDRAM usind a POPeye Simulator

Yoo, Hoi-Jun; Lee, K.; Yoon, C.W.; Woo, R.; Kook, J., IEEE International Symposium on Circuits and Systems, pp.v81 - v84, IEEE, 2001

48
A comparative performance analysis of a DDR-SDRAM, a D-RDRAM, and a DDR-FCRAM using a POPeye simulator

Lee, K.; Yoon, C.-W.; Woo, R.; Kook, J.-H.; Koo, J.-I.; Jung, T.-S.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems (ISCAS 2001), v.5, pp.81 - 84, IEEE, 2001-05-06

49
A Digital Temperature Compensated Crystal Oscillator Using a Temperature Adaptive Capacitor Array

Yoo, Hoi-Jun; Shin, Hyung-Cheol; Je, Minkyu; Gil, Joonho; Kwak, Jaeyoung, 6th International Conference on VLSI and CAD, pp.263 - 265, 1999

50
A distributed crossbar switch scheduler for on-chip networks

Lee, K.; Lee, S.-J.; Yoo, Hoi-Jun, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, pp.671 - 674, 2003-09-21

51
A dynamic real-time capacitor compensated inductive coupling transceiver for wearable body sensor network

Lee, S.; Yoo, J.; Kim, H.; Yoo, Hoi-Jun, 2009 Symposium on VLSI Circuits, pp.42 - 43, 2009-06-16

52
A Fast Lock-On Time Mixed Mode DLL with 10ps Jitter

Yoo, Hoi-Jun; Han, Seon-Ho; Lee, Joo-Ho, International Conference on VLSI and CAD, pp.564 - 565, 1999

53
A Fast Synchronous Pipelined DRAM(SP-DRAM) Architecture with SRAM Buffers

Yoo, Hoi-Jun; Yoon, Chi-Woen; Im, Yon-Kyun; Han, Seon-Ho; Jung, Tae-Sung, International Conference on VLSI and CAD, pp.285 - 288, 1999

54
A fixed-point 3d graphics library with energy-efficient cache architecture

Lee, M.-W.; Nam, B.-G.; Sohn, J.-H.; Cho, N.; Kim, H.; Kim, K.; Yoo, Hoi-Jun, IEEE International Symposium on Circuits and Systems 2005, ISCAS 2005, pp.4602 - 4605, 2005-05-23

55
A Fixed-Point 3D Graphics Library with Energy-Efficient Cache Architecture for Mobile Multimedia Systems

Yoo, Hoi-Jun; Lee, MW; Nam, BG; Sohn, JH; Cho, NJ; Kim, HJ; Kim, SH, IEEE ISCAS 2005, pp.4602 - 4605, 2005-05

56
A fixed-point multimedia co-processor with 50Mvertices/s programmable SIMP vertex shader for mobile applications

Sohn, J.-H.; Woo, J.-H.; Woo, R.; Yoo, Hoi-Jun, ESSCIRC 2005: 31st European Solid-State Circuits Conference, pp.207 - 210, 2005-09-12

57
A fully integrated digital hearing-aid chip with human-factors considerations

Kim, S.; Lee, S.J.; Cho, N.; Song, S.-J.; Yoo, Hoi-Jun, 54th IEEE International Solid-State Circuits Conference, ISSCC 2007, pp.154 -, 2007-02-11

58
A High-Speed and Lightweight On-Chip Crossbar Switch Scheduler for On-Chip Interconnection Networks

Yoo, Hoi-Jun; Lee, KM; Lee, SJ, IEEE ESSCIRC 2003, pp.453 - 456, 2003-09

59
A low cost quadratic level ECG compression algorithm and its hardware optimization for body sensor network system

Kim, H.; Kim, Y.; Yoo, Hoi-Jun, 30th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS'08, v.2008, pp.5490 - 5493, 2008-08-20

60
A Low Energy Sensor Node Controller for Wearable Body Sensor Network System

Yoo, Hoi-Jun; Kim, Hyejung; Kim, Yongsang, IEEE Asian Solid-State Circuit Conference2008, pp.317 - 320, 2008

Discover

Type

. next

Open Access

Date issued

. next

Subject

. next

rss_1.0 rss_2.0 atom_1.0