Integrated design flow for pulsed latch ASIC circuits펄스래치 회로를 위한 디자인 플로우

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 770
  • Download : 0
DC FieldValueLanguage
dc.contributor.advisorShin, Young-Soo-
dc.contributor.advisor신영수-
dc.contributor.authorKim, Duck-Hwan-
dc.contributor.author김덕환-
dc.date.accessioned2013-09-12T01:53:50Z-
dc.date.available2013-09-12T01:53:50Z-
dc.date.issued2012-
dc.identifier.urihttp://library.kaist.ac.kr/search/detail/view.do?bibCtrlNo=509448&flag=dissertation-
dc.identifier.urihttp://hdl.handle.net/10203/180636-
dc.description학위논문(석사) - 한국과학기술원 : 전기및전자공학과, 2012.8, [ v, 37 p. ]-
dc.description.abstractPulsed latch, a latch driven by a brief clock pulse, has advantage of flip-flop and latch. Pulsed latch based circuit has the convenience of timing verification similiar to flip-flop based circuits, while retaining superior design parameters of latches over flip-flop, such as sequencing overhead, area and power consumption. In ASIC design, pulsed latches are supported by CAD tools only in clock tree synthesis. Current design flow for pulsed latches cannot guarantee load limit of pulse generator and prevent distortion of pulse. In this thesis, we propose a new design flow which supports pulsed latch from logic synthesis. New design flow can be applied easily to current ASIC design since it is based on current ASIC design flow. We remodel timing model so that pulsed latch is regarded as faster flip-flop during logic synthesis. A new pulse generator which is less sensitive to clock transition is also introduced. We also set load limit of pulse generator to prevent distortion of pulse using clock-to-Q delay (Tcq) of connected latches. For the placement, we propose a new method to set bounding box so that pulsed latches and pulse generator are placed closely. It satisfies all the load limits of pulse generator within 4\% wire length overhead. In addition, to solve hold time violations on scan chain in pulsed latch design, we introduce a new scan latch with delayed output for scan chain. A simple algorithm to use both new scan latch and standard latch together is proposed to reduce hold time violations in scan chain. It can reduce buffer area overhead and total area is reduced by 10%. Pulsed latch based circuit throuth this design flow achieve 10% improvement in area and 19% improvement in power compared to ASIC circuit based on flip-flop.eng
dc.languageeng-
dc.publisher한국과학기술원-
dc.subjectPulsed latch-
dc.subjectpulse generator-
dc.subjectDesign flow-
dc.subjectbounding box-
dc.subject펄스 래치-
dc.subject펄스 제네레이터-
dc.subject디자인 방법론-
dc.subject바운딩 박스-
dc.subject스캔 래치-
dc.subjectscan latch-
dc.titleIntegrated design flow for pulsed latch ASIC circuits-
dc.title.alternative펄스래치 회로를 위한 디자인 플로우-
dc.typeThesis(Master)-
dc.identifier.CNRN509448/325007 -
dc.description.department한국과학기술원 : 전기및전자공학과, -
dc.identifier.uid020104263-
dc.contributor.localauthorShin, Young-Soo-
dc.contributor.localauthor신영수-
Appears in Collection
EE-Theses_Master(석사논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0