Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits

Cited 0 time in webofscience Cited 0 time in scopus
  • Hit : 378
  • Download : 0
Pulsed latches, latches driven by a brief clock pulse, offer the convenience of flip-flop-like timing verification and optimization, while retaining superior design parameters of latches over flip-flops. But, pulsed latch-based design using a single pulse width has a limitation in reducing clock period. The limitation still exists even if clock skew scheduling is employed, since the amount of skew that can be assigned is practically limited due to process variations. The problem of allocating pulse width (out of discrete number of predefined widths) and scheduling clock skew (within prescribed upper bound) is formulated, for the first time, for optimizing pulsed latch-based sequential circuits. An allocation algorithm called PWCS-Optimize is proposed to solve the problem. Experiments with 65-nm technology demonstrate that small number of variety of pulse widths (up to 5) combined with clock skews (up to 10% of clock period) yield minimum clock period for many benchmark circuits. The design flow including PWCS-Optimize, placement and routing, and synthesis of local and global clock trees is presented and assessed with example circuits.
Publisher
ACM SIGDA and IEEE CEDA
Issue Date
2008-11-10
Language
English
Citation

2008 International Conference on Computer-Aided Design, ICCAD, pp.224 - 229

ISSN
1092-3152
DOI
10.1109/ICCAD.2008.4681578
URI
http://hdl.handle.net/10203/157207
Appears in Collection
EE-Conference Papers(학술회의논문)
Files in This Item
There are no files associated with this item.

qr_code

  • mendeley

    citeulike


rss_1.0 rss_2.0 atom_1.0