Browse by Title 

Showing results 32501 to 32520 of 275695

32501
Area Residence Time Modeling in PCS Networks

Lee, Ki-Dong; Kim, Sehun, 한국경영과학회/대한산업공학회 '99 춘계공동학술대회, The Korean Operations Research and Management Science Society, 1999

32502
Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Jin, Y; Shihab, M; Jung, Myoungsoo, MemoryForum, IEEE, 2014-06-14

32503
Area-based Velocimetry Using TDLAS for Low-speed Flow

Jung, Sion; Shim, Hanseul; Kim, Gyeongrok; PARK, GISU, JOURNAL OF MECHANICAL SCIENCE AND TECHNOLOGY, v.37, no.8, pp.1 - 10, 2023-08

32504
Area-contact haptic simulation

Kim, S.-Y.; Park, Jinah; Kwon, Dong-Soo, SURGERY SIMULATION AND SOFT TISSUE MODELING, PROCEEDINGS, v.2673, pp.108 - 120, 2003

32505
Area-Contact Haptic Simulation

Kim, SY; Park, J; Kwon, Dong-Soo, Proc. Of International Conference on Soft Tissue Modeling and Surgery Simulation, pp.108 - 120, IS4TM'03, 2003-06-12

32506
Area-efficient algorithms for straight-line tree drawings

shin cs; kim sk; Chwa, Kyung Yong, COMPUTATIONAL GEOMETRY-THEORY AND APPLICATIONS, v.15, no.4, pp.175 - 202, 2000-04

32507
Area-Efficient and Reusable VLSI Architecture of Decision Feedback Equalizer of QAM Modem

Yu, Hyeongseok; Kim, Byung Wook; Cho, Yeon Gon; Cho, Jun Dong; Kim, Jea Woo; Lee, Jae Kon; Park, Hyuncheol; et al, Asia and South Pacific Design Automation Conference (ASP-DAC 2001), IEEE, 2001-01-30

32508
Area-Efficient Approach for Generating Quantized Gaussian Noise

Choi, Jaejoon; Jung, Jaehwan; Park, In-Cheol, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v.63, no.7, pp.1005 - 1013, 2016-07

32509
Area-Efficient Architecture for Joint Estimation of Fine Timing and Interger Carrier Frequency Offsets

김태환; 박인철, The 14th Korean Conference on Semiconductors (KCS 2007), 2007

32510
Area-efficient check node architecture for 5G LDPC decoders = 5G LDPC 복호기를 위한 면적 효율적인 체크 노드 아키텍처link

Yang, Byeongku; Park, In-Cheol; et al, 한국과학기술원, 2022

32511
Area-efficient correlated double sampling scheme with single sampling capacitor for CMOS image sensors

Han S.-W.; Yoon E., ELECTRONICS LETTERS, v.42, no.6, pp.335 - 337, 2006

32512
Area-efficient design of OFDM baseband receiver for DVB-T systems = DVB-T용 저면적 OFDM 기저대역 수신기 설계link

Lee, Hyun-Yong; 이현용; et al, 한국과학기술원, 2008

32513
Area-Efficient Digital Baseband Module for Bluetooth Wireless Communications

Park, In-Cheol; Shin, MCl; Park, SI; Lee, SW; Kang, SH, 한국반도체학술대회 (KCS), pp.441 - 442, 2002-02

32514
Area-efficient digital baseband module for Bluetooth wireless communications

Shin, M.-C.; Park, S.-I.; Lee, S.-W.; Kang, S.-H.; Park, In-Cheol, 2002 IEEE International Symposium on Circuits and Systems, pp.729 - 732, IEEE, 2002-05-26

32515
Area-efficient dynamic thermal management unit using MDLL with shared DLL scheme for many-core processors

Paek, S.; Oh, J.; Chung, S.-H.; Kim, Lee-Sup, 2011 IEEE International Symposium of Circuits and Systems, ISCAS 2011, pp.1664 - 1667, IEEE, 2011-05-15

32516
Area-Efficient Error Protection for Caches

Kim, Soontae, Design Automation and Test in Europe Conference, v.1, pp.1 - 6, 2006-03

32517
Area-efficient high-performance reed-solomon decoder architecture for MLC SSD = 대용량 MLC SSD를 위한 고성능 저면적의 리드 솔로몬 복호기link

Yoo, Ho-Young; 유호영; et al, 한국과학기술원, 2012

32518
Area-efficient high-throughput low density parity check codes decoding architecture = 저면적 고성능 LDPC 코드 복호기에 대한 연구link

Kang, Se-Hyeon; 강세현; et al, 한국과학기술원, 2007

32519
Area-efficient low-power VLSI architectures for modern linear block codes = 최신 선형 블록 부호를 위한 저면적 저전력 하드웨어 설계link

Yoo, Hoyoung; 유호영; et al, 한국과학기술원, 2016

32520
Area-efficient memory-based architecture for FFT processing

Moon, S.-C.; Park, In-Cheol, Proceedings of the 2003 IEEE International Symposium on Circuits and Systems, v.5, 2003-05-25

rss_1.0 rss_2.0 atom_1.0