Browse by Type Conference

Showing results 71001 to 71020 of 109411

71001
Reduced spin-orbit torque switching current by voltage control of the easy-cone states in Ta/CoFeB/Pt/MgO structures

Jeong, Jimin; KANG, MIN-GU; Lee, Soogil; Park, Byong-Guk, 12th International Conference on Advanced Materials and Devices, ICAMD 2021, The Korean Physical Society, 2021-12-08

71002
Reduced spin-orbit torque switching current by voltage-controlled magnetic easy-cone states

정지민; 강민구; 이수길; 박병국, 2021 한국자기학회 하계학술대회, 한국자기학회, 2021-07-21

71003
Reduced Spin-orbit Torque Switching Current by Voltage-controlled Magnetic Easy-cone States

Jeong, Jimin; Kang, Min-Gu; Lee, Soogil; Park, Byong-Guk, The 7th international Conference on Electronic Materials and Nanotechnology for Green Environment, ENGE 2022, The Korean Institute of Metals and Materials, 2022-11-08

71004
Reduced surface wave transmission function and neural networks for crack evaluation of concrete structures

Shin, SW; Yun, Chung Bang; Furuta, H; Popovics, JS, Sensors and Smart Structures Technologies for Civil, Mechanical, and Aerospace Systems 2007, 2007-03-19

71005
Reduced texture approach for crystal plasticity finite element method toward macroscopic engineering applications

NOH, DONGHWAN; YOON, JEONG WHAN, 39th International Deep-Drawing Research Group Conference, IDDRG 2020, IOP Publishing, 2020-10

71006
Reduced TiO2 (Magneli phase) nanostructure for advanced Li-Sulfur battery

Marelign, Beyene Anteneh; Yun, Jong Hyuk; Abdul Ahad, Syed; Moorthy, Brindha; Kim, Do Kyung, ICAE 2017, The Korean Institute of Electrical and Electronic Material Engineers, 2017-11-21

71007
Reduced Tumor Angiogenesis and Normalization of Tumor Vessels by Sox17 Deletion

김인준, The 18th Annual Fall Symposium of Korean Cancer Association, The Korean Cancer Association, 2013-11-22

71008
Reduced-Delay DCSK UWB 시스템의 성능 분석에 관한 연구

이권형; 손재승; 김재현; 김영환; 박현철, 제16회 통신정보합동학술대회, 한국통신학회, 대한전자공학회, 한국정보과학회, 한국정보보호학회, 한국정보처리학회, 2006-04-26

71009
Reducing ALU and Register File Energy by Dynamic Zero Detection

Kim, Soontae, International Performance Computing and Communication Conference, pp.365 - 371, IEEE Internationa Performance, Computing, and Communications Conference, 2007. (IPCCC 2007), 2007-04

71010
Reducing ambiguity in feature point matching by preserving local geometric consistency

Choi, O.; Kweon, In-So, 2008 IEEE International Conference on Image Processing, ICIP 2008, pp.293 - 296, 123, 2008-10-12

71011
Reducing Ambiguity in Object Recognition using Relational Information

Yoon, Kuk-Jin; Shin, Min-Gil, Asian Conference on Computer Vision (ACCV), Asian Federation of Computer Vision (AFCV), 2010-11-09

71012
Reducing Annotation Artifacts in Crowdsourcing Datasets for Natural Language Processing

Han, Donghoon; Kim, Juho; Oh, Alice Haeyun, The eighth AAAI Conference on Human Computation and Crowdsourcing, AAAI, 2020-10-26

71013
Reducing biases in the generation of consumer price index with web-based data

Rim, Maria Josefina; Kwon, Youngsun, 16th ITS Asia-Pacific Conference, International Telecommunications Society, 2023-11-27

71014
Reducing Chlorophyll Antenna Size of Chlorella vulgaris to improve Biomass productivity

신원섭; 권종희; 양지원, (재)차세대바이오매스연구단 워크숍, Advanced Biomass research Center, 2015-01-22

71015
Reducing Cross-Coupling among Interconnect Wires in Deep-Submicron Datapath Design

Kyung, Chong-Min; Yim, J.S., 36th Design Automation Conference(DAC), pp.485 - 490, 1999-06

71016
Reducing Crystallization Temperature and Time of a LPCVD Amorphous Silicon Thin in Al/Amorphous Si Structure

Lee, Jeong Yong, 3rd Korean Conference of Semiconductors, pp.0 - 0, 1996-02-01

71017
Reducing DNN labelling cost using surprise adequacy: an industrial case study for autonomous driving

Kim, Jinhan; Ju, Jeongil; Feldt, Robert; Yoo, Shin, ACM Joint Meeting on European Software Engineering Conference and Symposium on the Foundations of Software Engineering, pp.1466 - 1476, ACM SIGSOFT, 2020-11-10

71018
Reducing Effect of Outliers in Landmark-based Spatial Localization using MLESAC

Kim, Jong-Hwan; Choi, SL, World Congress The International Federation of Automatic Control, 2008

71019
Reducing emergency department overcrowding -five patient buffer concepts in comparison

Kolb, E.M.W.; Peck, J.; Schoening, S.; Lee, Taesik, 2008 Winter Simulation Conference, WSC 2008, pp.1516 - 1525, 2008-12-07

71020
Reducing Feasible Decision Space For Selecting An Alternative In Mutiattribute Decision

김성희; 박경삼, 한국경영과학회/대한산업공학회 '95 춘계공동학술대회 논문집, pp.977 -, 1995

rss_1.0 rss_2.0 atom_1.0