Browse by Title 

Showing results 32501 to 32520 of 275992

32501
Are uber really to blame for sexual assault?

Park, Jiyong; Kim, Junetae; Lee, Byungtae, the 18th Annual International Conference on Electronic Commerce: e-Commerce in Smart connected World, pp.1 - 7, ACM Press, 2016-08

32502
Are unsolicited ratings biased? Evidence from long-run stock performance

Byoun, Soku; Fulkerson, Jon A.; Han, SeungHun; Shin, Yoon S., JOURNAL OF BANKING & FINANCE, v.42, pp.326 - 338, 2014-05

32503
Are We There Yet? How and When Specific Biotechnologies Will Improve Human Health

O'Day, Elizabeth; Hosta-Rigau, Leticia; Oyarzun, Diego A.; Okano, Hideyuki; de Lorenzo, Victor; von Kameke, Conrad; Alsafar, Habiba; et al, BIOTECHNOLOGY JOURNAL, v.14, no.1, 2019-01

32504
Are We Treating Networks Seriously? The Growth of Network Research in Public Administration & Public Policy

Sungsoo Hwang; Moon, Il-Chul, Connections, v.29, no.2, pp.4 - 17, 2009-07

32505
Are You Left Out? An Efficient and Fair Federated Learning for Personalized Profiles onWearable Devices of Inferior Networking Conditions

Zhou, Pengyuan; Xu, Hengwei; Lee, Lik Hang; Fang, Pei; Hui, Pan, PROCEEDINGS OF THE ACM ON INTERACTIVE MOBILE WEARABLE AND UBIQUITOUS TECHNOLOGIES-IMWUT, v.6, no.2, 2022-07

32506
Area and error reduction techniques for on-chip temperature sensor = 온 칩 온도 센서의 면적과 오차 감소 기법link

Shin, Wong-Yu; 신원규; et al, 한국과학기술원, 2013

32507
Area and power efficient 10-bit column driver with interpolating DAC and push-pull amplifier for AMLCDs

Lee, H.-M.; Son, Y.-S.; Jeon, Y.-J.; Jeon, J.-Y.; Jung, S.-C.; Cho, Gyu-Hyeong, 2008 SID International Symposium, pp.889 - 891, 2008-05-20

32508
Area and power efficient design of coarse time synchronizer and frequency offset estimator for fixed WiMAX systems

Kim, T.-H.; Park, In-Cheol, 2008 Asia and South Pacific Design Automation Conference, ASP-DAC, pp.111 - 112, IEEE, 2008-03-21

32509
Area and power minimization in controller synthesis = 제어기 합성에서의 면적과 파워의 최소화link

Hong, Se-Kyoung; 홍세경; et al, 한국과학기술원, 1995

32510
Area comparison in Alexandrov surfaces = 알렉산드로프 곡면에서의 넓이 비교정리link

Oh, Seung-Taik; 오승택; et al, 한국과학기술원, 1996

32511
Area Efficient Algorithms for Upward Straight-Line Tree Drawings

Chwa, Kyung Yong, , 1996-01-01

32512
Area efficient neuromorphic circuit based on stochastic computation

윤기원; 최수형; 신영수, 한국반도체학술대회, 대한전자공학회, 2017-02-15

32513
Area efficient neuromorphic circuit based on stochastic computation

Yoon, Kiwon; Choi, Suhyeong; Shin, Youngsoo, 13th International SoC Design Conference, ISOCC 2016, pp.73 - 74, Institute of Electrical and Electronics Engineers Inc., 2016-10-23

32514
Area efficient pipelined VLSI implementation of list sphere decoder

Lee J.; Park, Sin Chong, 2006 Asia-Pacific Conference on Communications, APCC, 2006-08-31

32515
Area gestures for a laptop computer enabled by a hover-tracking touchpad

Choi, Sangwon; Gu, Jiseong; Han, Jaehyun; Lee, Geehyuk, The 10th Asia Pacific Conference on Computer Human Interaction, pp.119 - 124, Human Centered Design Organization and ACM, 2012-08-30

32516
Area light source-triggered latent angiogenic molecular mechanisms intensify therapeutic efficacy of adult stem cells

Kim, Yu-Jin; Kim, Sung-Won; Im, Gwang-Bum; Kim, Yeong Hwan; Jeong, Gun-Jae; Jeon, Hye Ran; Kim, Dong-Ik; et al, BIOENGINEERING & TRANSLATIONAL MEDICINE, v.7, no.1, 2022-01

32517
Area optimization algorithms for FSM synthesis and FPGA technology mapping = FSM 합성과 FPGA 기술 매핑을 위한 면적 최적화 알고리즘link

Park, Sung-Soo; 박성수; et al, 한국과학기술원, 1995

32518
Area Residence Time Modeling in PCS Networks

Lee, Ki-Dong; Kim, Sehun, 한국경영과학회/대한산업공학회 '99 춘계공동학술대회, The Korean Operations Research and Management Science Society, 1999

32519
Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Jin, Y; Shihab, M; Jung, Myoungsoo, MemoryForum, IEEE, 2014-06-14

32520
Area-based Velocimetry Using TDLAS for Low-speed Flow

Jung, Sion; Shim, Hanseul; Kim, Gyeongrok; PARK, GISU, JOURNAL OF MECHANICAL SCIENCE AND TECHNOLOGY, v.37, no.8, pp.1 - 10, 2023-08

rss_1.0 rss_2.0 atom_1.0