Browse by Issue Date 

Jump to a point in the index:

Showing results 201 to 220 of 275281

201
Microphone Pair Training for Robust Sound Source Localization With Diverse Array Configurations

An, Inkyu; An, Guoyuan; Kim, Taeyoung; Yoon, Sung-eui, IEEE ROBOTICS AND AUTOMATION LETTERS, v.9, no.1, pp.319 - 326, 2024-01

202
Generating Dispatching Rules for the Interrupting Swap-Allowed Blocking Job Shop Problem Using Graph Neural Network and Reinforcement Learning

Wong, Vivian Wen Hui; Kim, Sang Hun; Park, Junyoung; Park, Jinkyoo; Law, Kincho H., JOURNAL OF MANUFACTURING SCIENCE AND ENGINEERING-TRANSACTIONS OF THE ASME, v.146, no.1, 2024-01

203
Design and analysis of liquid hydrogen-fueled hybrid ship propulsion system with dynamic simulation

Jung, Wongwan; Choi, Minsoo; Jeong, Jinyeong; Lee, Jinkwang; Chang, Daejun, INTERNATIONAL JOURNAL OF HYDROGEN ENERGY, v.50, pp.951 - 967, 2024-01

204
Channel Correlation in Multi-User Covert Communication: Friend or Foe?

Lee, Jinyoung; Yeom, Hyeonsik; Lee, Si-hyeon; Ha, Jeongseok, IEEE TRANSACTIONS ON INFORMATION FORENSICS AND SECURITY, v.19, pp.1469 - 1482, 2024-01

205
Heterogeneous 3-D Sequential CFETs With Ge (110) Nanosheet p-FETs on Si (100) Bulk n-FETs

Kim, Seong Kwang; Lim, Hyeong-Rak; Jeong, Jaejoong; Lee, Seung Woo; Jeong, Ho Jin; Park, Juhyuk; Kim, Joon Pyo; et al, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.71, no.1, pp.393 - 399, 2024-01

206
Application of Pulsed Green Laser Activation to Top-Tier MOSFET Fabrication for Monolithic 3-D Integration

Park, Youngkeun; Jeong, Jaejoong; Noh, Semin; Kim, Heetae; Kim, Seongho; Kim, Kiryong; Kim, Dongbin; et al, IEEE TRANSACTIONS ON ELECTRON DEVICES, v.71, no.1, pp.890 - 895, 2024-01

207
Segmentation-Guided Context Learning Using EO Object Labels for Stable SAR-to-EO Translation

Lee, Jaehyup; Kim, Hyun-Ho; Seo, Doochun; Kim, Munchurl, IEEE GEOSCIENCE AND REMOTE SENSING LETTERS, v.21, pp.1 - 5, 2024-01

208
The groupwise-pivotal referral auction: Core-selecting referral strategy-proof mechanism

Jeong, Seungwon (Eugene); Lee, Joosung, GAMES AND ECONOMIC BEHAVIOR, v.143, pp.191 - 203, 2024-01

209
Vehicle Path Tracking Control Using Pure Pursuit With MPC-Based Look-Ahead Distance Optimization

Kim, Seungtaek; Lee, Jonghyup; Han, Kyoungseok; Choi, Seibum B, IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, v.73, no.1, pp.53 - 66, 2024-01

210
Anisotropic lens-shaped mesoporous carbon from interfacially perpendicular self-assembly for potassium-ion batteries

Woo, Dongyoon; Ban, Minkyeong; Lee, Jisung; Park, Cheol-Young; Kim, Jinuk; Kim, Seongseop; Lee, Jinwoo, CHEMICAL COMMUNICATIONS, v.60, no.5, pp.590 - 593, 2024-01

211
Downlink Cell-Free Massive MIMO With Pilot Contamination

Park, Junguk; Yeom, Hyeonsik; Yun, Sangseok; Ha, Jeongseok, IEEE TRANSACTIONS ON VEHICULAR TECHNOLOGY, v.73, no.1, pp.1412 - 1417, 2024-01

212
MetaVRain: A Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid DNN Computing

Han, Donghyeon; Ryu, Junha; Kim, Sangyeob; Kim, Sangjin; Park, Jongjun; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.65 - 78, 2024-01

213
Healing Donor Defect States in CVD-Grown MoS2 Field-Effect Transistors Using Oxygen Plasma with a Channel-Protecting Barrier

Lee, Inseong; Kang, Mingu; Park, Seohak; Park, Cheolmin; Lee, Hyeonji; Bae, Sanggeun; Lim, Hyeongjin; et al, SMALL, v.20, no.2, 2024-01

214
DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell

Kim, Sangjin; Li, Zhiyong; Um, Soyeon; Jo, Wooyoung; Ha, Sangwoo; Lee, Juhyoung; Kim, Sangyeob; et al, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.102 - 115, 2024-01

215
The proof of concept of uninterrupted push-pull electromagnetic propulsion and energy conversion systems for drones and planet landers

Kumar, V. R. Sanal; Mariappan, Amrith; Thianesh, U. K.; Sukumaran, Ajith; Kumar, Ashish; Lal, V. K. Vijil; John, Jerin; et al, ENGINEERING REPORTS, v.6, no.1, 2024-01

216
C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture

Kim, Sangyeob; Kim, Soyeon; Hong, Seongyon; Kim, Sangjin; Han, Donghyeon; Choi, Jiwon; Yoo, Hoi-Jun, IEEE JOURNAL OF SOLID-STATE CIRCUITS, v.59, no.1, pp.157 - 172, 2024-01

217
Low Operating Voltage and Immediate Read-After-Write of HZO-Based Si Ferroelectric Field-Effect Transistors with High Endurance and Retention Characteristics

Kim, Bong Ho; Kuk, Song-Hyeon; Kim, Seong Kwang; Kim, Joon Pyo; Suh, Yoon-Je; Jeong, Jaeyong; Lee, Chan Jik; et al, ADVANCED ELECTRONIC MATERIALS, v.10, no.1, 2024-01

218
Highly Sensitive Soft Pressure Sensors for Wearable Applications Based on Composite Films with Curved 3D Carbon Nanotube Structures

Jung, Young; Gu, Jimin; Yeo, Jinwook; Lee, Wookjin; Han, Hyeonseok; Choi, Jungrak; Ha, Ji-Hwan; et al, SMALL, v.20, no.2, 2024-01

219
Applying network link prediction in drug discovery: an overview of the literature

Son, Jeongtae; Kim, Dongsup, EXPERT OPINION ON DRUG DISCOVERY, v.19, no.1, pp.43 - 56, 2024-01

220
Auxetic Photonic Patterns with Ultrasensitive Mechanochromism

Lee, Hwan-Young; Gu, Minbon; Hwang, Jeonghee; Hwang, Hyerim; Kim, Young-Seok; Lee, Su Yeon; Kim, Shin-Hyun, ADVANCED SCIENCE, v.11, no.1, 2024-01

rss_1.0 rss_2.0 atom_1.0